The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for fault with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1967 (15) 1968-1970 (24) 1971 (25) 1972 (20) 1973 (19) 1974 (30) 1975 (45) 1976 (37) 1977 (36) 1978 (56) 1979 (27) 1980 (52) 1981 (46) 1982 (52) 1983 (69) 1984 (110) 1985 (104) 1986 (168) 1987 (170) 1988 (328) 1989 (334) 1990 (422) 1991 (430) 1992 (450) 1993 (555) 1994 (615) 1995 (696) 1996 (734) 1997 (720) 1998 (741) 1999 (911) 2000 (1031) 2001 (1007) 2002 (1115) 2003 (1336) 2004 (1496) 2005 (1747) 2006 (2017) 2007 (2111) 2008 (2018) 2009 (1766) 2010 (1426) 2011 (1436) 2012 (1471) 2013 (1626) 2014 (1595) 2015 (1839) 2016 (1761) 2017 (1936) 2018 (2301) 2019 (2809) 2020 (2718) 2021 (3159) 2022 (3126) 2023 (3684) 2024 (989)
Publication types (Num. hits)
article(23893) book(52) data(18) incollection(194) inproceedings(30594) phdthesis(709) proceedings(101)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 25639 occurrences of 5402 keywords

Results
Found 55561 publication records. Showing 55561 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
26Su Myeong Lee, Hee Yong Youn Dynamic Window-based Adaptive Fault Monitoring for Ubiquitous Computing Systems. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Dynamic window, elapsed time, fault monitoring and detection, pull and push-based monitoring, fault-tolerant CORBA
26Shu-Ching Wang, Kuo-Qin Yan Revisiting fault diagnosis agreement in a new territory. Search on Bibsonomy ACM SIGOPS Oper. Syst. Rev. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fault tolerance, distributed system, fault diagnosis, consensus
26Andrea Bondavalli, Silvano Chiaradonna, Domenico Cotroneo, Luigi Romano Effective Fault Treatment for Improving the Dependability of COTS and Legacy-Based Applications. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Legacy systems and COTS components, fault diagnosis and treatment, performability, fault injection, modeling and evaluation
26Sounil Biswas, Kumar N. Dwarakanath, R. D. (Shawn) Blanton Generalized Sensitization using Fault Tuples. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF multiple path sensitization, hazard-free test, fault model, Fault simulation, robust test
26Christian Aumüller, Peter Bier, Wieland Fischer, Peter Hofreiter, Jean-Pierre Seifert Fault Attacks on RSA with CRT: Concrete Results and Practical Countermeasures. Search on Bibsonomy CHES The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Spike attacks, Software countermeasures, Transient fault model, RSA, Chinese Remainder Theorem, Fault attacks, Hardware security, Bellcore attack
26Youngjae Kim, Sungjune Hong, Kiyong Park, Keecheon Kim, Sunyoung Han Intelligent Fault-Tolerant CORBA Service on Real-Time CORBA. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IFTS, CORBA Service, object replication Category : Regular paper Topic : Fault-tolerant systems and software, Fault-Tolerance, Real-Time
26Salvador Mir, Benoît Charlot, Bernard Courtois Extending Fault-Based Testing to Microelectromechanical Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF nodal simulation, fault modeling, fault simulation, defects, MEMS, HDLs, failure modes
26Irith Pomeranz, Sudhakar M. Reddy On the feasibility of fault simulation using partial circuit descriptions. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF partial circuit description, gate-level circuits, subcircuits, logic testing, fault simulation, fault simulation, memory requirements
26Zbigniew Kalbarczyk, Ravishankar K. Iyer, Saurabh Bagchi, Keith Whisnant Chameleon: A Software Infrastructure for Adaptive Fault Tolerance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Adaptive fault tolerance, high availability networked computing, extendible modular architecture, COTS, software-implemented fault tolerance
26Felix C. Gärtner Fundamentals of Fault-Tolerant Distributed Computing in Asynchronous Environments. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF failure correction, possibility detection, fault tolerance, message passing, redundancy, safety, fault models, liveness, asynchronous system, failure detection, consensus problem, agreement problem, predicate detection
26Bettina Weiss, Günther Gridling, Ulrich Schmid 0001, Klaus Schossmaier The SimUTC Fault-Tolerant Distributed Systems Simulation Toolkit. Search on Bibsonomy MASCOTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault-tolerant distributed real-time systems, performance evaluation, discrete event simulation, fault injection, clock synchronization
26Chungti Liang, Sourav Bhattacharya, Jack Tan Design and Analysis of Fault-Tolerant Star Networks. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Modular Fault-Tolerance, Reconfiguration, Fault-Tolerant Routing, Star Networks
26Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Segment delay faults: a new fault model. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF segment delay faults, delay defect, distributed defect, rising transitions, falling transitions, transition tests, nonrobust tests, VLSI, fault diagnosis, logic testing, delays, integrated circuit testing, fault model, automatic testing, circuit analysis computing, robust tests, integrated circuit modelling, production testing, spot defect, manufacturing defects
26Abdel Aziz Farrag, Robert J. Dawson The Fault-Tolerant Extension Problem for Complete Multipartite Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant extension problem, complete multipartite networks, search time, fault tolerant computing, combinatorial problem
26Samaradasa Weerahandi, Robert E. Hausman Software Quality Measurement Based on Fault-Detection Data. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF software quality measurement, fault-detection data, quality levels, quality measurement plan, field operation, fault discovery data, QMP, Weibull, software quality, software metrics, software reliability, exponential, software product, Pareto distribution, data sets
26Yennun Huang, Satish K. Tripathi Resource Allocation for Primary-Site Fault-Tolerant Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF primary-site fault-tolerant systems, performance evaluation, distributed system, resource allocation, resource allocation, fault tolerant computing, distributed processing, server, system performance, node failures, file servers, approximate model
26Henk Schepers, Jozef Hooman Trace-Based Compositional Reasoning about Fault Tolerant Systems. Search on Bibsonomy PARLE The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Compositional proof theory, fault hypothesis, relative network completeness, fault tolerance, verification, specification, safety, soundness
26Oana Boncalo, Mihai Udrescu, Lucian Prodan, Mircea Vladutiu, Alexandru Amaricai Saboteur-Based Fault Injection for Quantum Circuits Fault Tolerance Assessment. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Chong Hee Kim, Jean-Jacques Quisquater How can we overcome both side channel analysis and fault attacks on RSA-CRT? Search on Bibsonomy FDTC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Mahilchi Milir Vaseekar Kumar, Spyros Tragoudas, Sreejit Chakravarty, Rathish Jayabharathi Exact Delay Fault Coverage in Sequential Logic Under Any Delay Fault Model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Ling Wang, Jinshou Yu Fault Feature Selection Based on Modified Binary PSO with Mutation and Its Application in Chemical Process Fault Diagnosis. Search on Bibsonomy ICNC (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26T. Seiyama, Hiroshi Takahashi, Yoshinobu Higami, Kazuo Yamazaki, Yuzo Takamatsu On the fault diagnosis in the presence of unknown fault models using pass/fail information. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Cory Jung, Mohammad Hadi Izadi, Michelle L. La Haye Noise Analysis of Fault Tolerant Active Pixel Sensors. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26J. V. Deodhar, Spyros Tragoudas Implicit deductive fault simulation for complex delay fault models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Wilfried Steiner, John M. Rushby, Maria Sorea, Holger Pfeifer Model Checking a Fault-Tolerant Startup Algorithm: From Design Exploration To Exhaustive Fault Simulation. Search on Bibsonomy DSN The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Astrit Ademaj, Petr Grillinger, Pavel Herout, Jan Hlavicka Fault Tolerance Evaluation Using Two Software Based Fault Injection Methods. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante A New Functional Fault Model for FPGA Application-Oriented Testing. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Sandeep S. Kulkarni, Anish Arora Automating the Addition of Fault-Tolerance. Search on Bibsonomy FTRTFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
26Sudip Chakrabarti, Abhijit Chatterjee Fault modeling and fault sampling for isolating faults in analog and mixed-signal circuits. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26André Postma, Gerie Hartman, Thijs Krol Removal of all Faulty Nodes from a Fault-Tolerant Service by means of Distributed Diagnosis with Imperfect Fault Coverage. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26David T. Wang Properties of Faults and Criticalities of Values under Tests for Combinational Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Criticality of values under test, test generation, fault detection, fault location, fault masking, fault equivalence, fault dominance
26Arabi Keshk, Yukiya Miura, Kozo Kinoshita Simulation of resistive bridging fault to minimize the presence of intermediate voltage and oscillation in CMOS circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF circuit oscillations, transistor level networks, feedback oscillation, Byzantine General's problems, logic threshold, logic testing, logic testing, integrated circuit testing, fault coverage, fault location, bridging fault, CMOS circuits, CMOS digital integrated circuits, test vector
26Marie-Lise Flottes, Christian Landrault, A. Petitqueux Design for sequential testability: an internal state reseeding approach for 100 % fault coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF sequential testability, internal state reseeding, observation points, minimum DFT insertion, non-scan approach, fault efficiency, 100 percent, fault diagnosis, logic testing, controllability, controllability, design for testability, logic design, sequential circuits, automatic test pattern generation, ATPG, observability, fault coverage, flip-flops, at-speed testing, benchmark circuits, CPU time, partial reset
26Sejun Song, Jiandong Huang, P. Kappler, R. Freimark, T. Kozlik Fault-Tolerant Ethernet Middleware for IP-Based Process Control Networks. Search on Bibsonomy LCN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault-tolerant Ethernet middleware, IP-based process control networks, FTE, process control networks, IP-based applications, network faults, nonFTE-capable devices, open-network-based fault-tolerant network, performance evaluation, Internet, architecture, fault tolerant computing, recovery, application program interfaces, process control, failure detection, controller area networks, computer network reliability
26Alfredo Benso, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda EXFI: a low-cost fault injection system for embedded microprocessor-based boards. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF microprocessor systems, software-implemented fault injection, trace exception mode, fault injection, fault coverage
26Hiroyuki Goto, Shigeo Nakamura, Kazuhiko Iwasaki Experimental fault analysis of 1 Mb SRAM chips. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF stuck-at cell faults, stuck-at bit-line faults, stuck-at word-line fault, neighborhood-pattern-sensitive faults, load capacity, margin fault detection, 1 Mbit, 70 C, 30 pF, memory testing, fault analysis, SRAM chips, SRAM chips
26Liangkung Lin, G. Robert Redinbo Efficient fault protection of block gradient-based adaptive filters. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault protection, block gradient-based adaptive filters, block processing techniques, sequential implementations, finite word length, fault-tolerant techniques, block adaptive filtering algorithms, fine-grained approach, computational complexity, complexity, fault tolerant computing, signal processing, encoding, adaptive filters, FIR filters, FIR filters, computational power, checksums, encoding scheme, digital signal processing applications
26Salvador Manich, Michael Nicolaidis, Joan Figueras Enhancing realistic fault secureness in parity prediction array arithmetic operators by IDDQ monitoring. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mathematical operators, parity prediction array arithmetic operators, IDDQ current monitoring, fault diagnosis, logic testing, fault detection, stuck-at faults, bridging faults, multiplying circuits, multiplier circuit, arithmetic circuits, logic arrays, stuck-open faults, topological design, SPICE simulation, fault secureness
26Tatsuhiro Tsuchiya, Yoshiaki Kakuda, Tohru Kikuno A new fault-tolerant scheduling technique for real-time multiprocessor systems. Search on Bibsonomy RTCSA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF real-time multiprocessor systems, task laxity, scheduling, fault-tolerant, fault-tolerance, real-time systems, fault tolerant computing, multiprocessing systems, multiprocessor systems, task scheduling, processor scheduling, aperiodic tasks
26Rolf Drechsler, Rolf Krieger, Bernd Becker 0001 Random Pattern Fault Simulation in Multi-Valued Circuits. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF random pattern fault simulation, multi-valued circuits, multi-valued logic networks, fault diagnosis, logic testing, integrated circuit testing, fault simulator, circuit analysis computing, multivalued logic circuits, random pattern testability
26Toshibumi Seki, Tetsuo Hasegawa, Yasukuni Okataku, Shinsuke Tamura A fault-tolerant architecture based on autonomous replicated objects. Search on Bibsonomy HICSS (2) The full citation details ... 1995 DBLP  DOI  BibTeX  RDF autonomous replicated objects, program module replication, local knowledge, replication degree, system level modules, total ordering broadcast protocol, execution efficiency, reliability, communication, protocols, object-oriented programming, fault tolerant computing, distributed processing, computer architecture, location, objects, software fault tolerance, fault-tolerant architecture
26Ding Lu, Carol Q. Tong High level fault modeling of asynchronous circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high level fault modeling, transitional fault models, stuck-at-false model, stuck-at-true model, fault diagnosis, logic testing, timing, asynchronous circuits, asynchronous circuits, signal transition graph, signal flow graphs, self-timed circuits
26Jehoshua Bruck, Robert Cypher, Ching-Tien Ho Fault-Tolerant de Bruijn and Shuffle-Exchange Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault-tolerant interconnection network, base-2 de Bruijn graph, fault-tolerant graph, parallel computer, graph theory, fault tolerant computing, multiprocessor interconnection networks, shuffle-exchange networks
26Anders Adlemo, Sven-Arne Andréasson Fault tolerance in partitioned manufacturing networks. Search on Bibsonomy J. Syst. Integr. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF quasi-partitioning, fault tolerance, Caches, fault diagnosis, fault detection, distributed computing systems, network partitioning, dynamic configuration, computer integrated manufacturing
26Masahisa Nakazawa, Susumu Nitta, Kanji Hirabayashi Probabilistic fault grading based on activation checking and observability analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF activation checking, fault-free simulation, fault grading, statistical fault analysis, controllability, observability, detectability
26Keping Long, Xiaolong Yang, Sheng Huang 0001, Xin Yang, Yujun Kuang The SLA-Compatible Fault Management Model for Differentiated Fault Recovery. Search on Bibsonomy HPCC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Quality of Recovery, Service Level Agreement(SLA), Fault Recovery
26Kerstin Lemke-Rust, Christof Paar An Adversarial Model for Fault Analysis Against Low-Cost Cryptographic Devices. Search on Bibsonomy FDTC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Tamper-Proof Hardware, Fault Analysis, Physical Security, Adversarial Model, Tampering, Implementation Attack
26Soo Myoung Lee, Hee Yong Youn, We-Duke Cho Adaptive Fault Monitoring in Fault Tolerant CORBA. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF elapsed time, fault monitoring and detection, faulttolerant CORBA, Adaptation, timeout
26Sunjaya Djaja, Glenn H. Chapman, Desmond Y. H. Cheung, Yves Audet Implementation and Testing of Fault-Tolerant Photodiode-Based Active Pixel Sensor (APS). Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF photodiode APS, fault-tolerance, redundancy, SOC, CMOS image sensor, active pixel sensor
26Jun Li, Constantine N. Manikopoulos Network Fault Detection: Classifier Training Method for Anomaly Fault Detection in a Production Network Using Test Network Information. Search on Bibsonomy LCN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Internet, Anomaly detection, Network Management, Fault Management
26Stavros Tripakis Fault Diagnosis for Timed Automata. Search on Bibsonomy FTRTFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault diagnosis, Timed Automata, Partial observability
26John C. Lach, William H. Mangione-Smith, Miodrag Potkonjak Algorithms for Efficient Runtime Fault Recovery on Diverse FPGA Architectures. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault-tolerance, Field programmable gate array (FPGA)
25Irith Pomeranz, Sudhakar M. Reddy On Dictionary-Based Fault Location in Digital Logic Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Compact fault dictionary, dynamic fault diagnosis, fault diagnosis, fault dictionary
25Shay Kutten, David Peleg Tight Fault Locality (Extended Abstract). Search on Bibsonomy FOCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fault local mending, deterministic mending algorithm, global functions, tightly fault local algorithm, computational complexity, complexity, fault tolerant computing, system recovery, fault tolerant algorithms
25Annette Lagman, Walid A. Najjar, Pradip K. Srimani An Analysis of Edge Fault Tolerance in Recursively Decomposable Regular Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF edge fault tolerance, recursively decomposable, edge failures, fault tolerance measures, restricted resilience, probabilistic fault tolerance measures, reliability, interconnection networks, fault tolerant computing, multiprocessor interconnection networks, network topology, network topologies, resilience, topological properties, regular networks, probabilistic measures, large scale multiprocessor
25V. S. S. Nair, Yatin Vasant Hoskote, Jacob A. Abraham Probabilistic Evaluation of On-Line Checks in Fault-Tolerant Multiprocessor Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF probabilistic evaluation, fault-tolerant multiprocessor systems, online checks, matrix-based model, probabilistic matrices, fault tolerant computing, probability, fault detection, multiprocessing systems, fault location, concurrent error detection
25Andrzej Pelc Optimal Fault Diagnosis in Comparison Models. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF nonadaptive testing, comparison models, optimal testing algorithms, fault diagnosis, fault tolerant computing, logic testing, fault detection, multiprocessing systems, fault location, adaptive testing
25Yoon-Hwa Choi, Miroslaw Malek A Fault-Tolerant Systolic Sorter. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF VLSI sorter, fault-tolerant systolic sorter, permanent computation errors, error-checking code, redundant cells, single faulty cell, offline fault-testing, permanent stuck-at faults, testing, fault tolerant computing, reconfiguration, redundancy, integrated circuit testing, sorting, automatic testing, invariants, error detection codes, cellular arrays, algorithm-based fault tolerance, digital integrated circuits, hardware overhead, time overhead
25Anton T. Dahbura, Gerald M. Masson Greedy Diagnosis of Hybrid Fault Situations. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF greedy diagnosis, hybrid fault, incomplete diagnosis, fault tolerance, PMC models, intermittent fault, permanent fault, syndrome, Connection assignment, self-diagnosable system
25Zhen Jiang, Jie Wu 0001, Dajin Wang A New Fault Information Model for Fault-Tolerant Adaptive and Minimal Routing in 3-D Meshes. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF fault information models, 3-D meshes, fault tolerance, Adaptive routing, minimal routing
25Pierluigi Civera, Luca Macchiarulo, Maurizio Rebaudengo, Matteo Sonza Reorda, Massimo Violante Exploiting FPGA-Based Techniques for Fault Injection Campaigns on VLSI Circuits . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, dependability, Fault detection, Fault Injection
25X. Wendling, H. Chauvet, Lionel Revéret, Raphaël Rochet, Régis Leveugle Automatic and Optimized Synthesis of Dataparts with Fault Detection or Tolerance Capabilities. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF RTL synthesis, dependable VLSI circuits, fault tolerance, fault detection, CAD tools
25Vijay R. Sar-Dessai, D. M. H. Walker Accurate Fault Modeling and Fault Simulation of Resistive Bridges. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF realistic bridges, zero-ohm bridges, Resistive bridging faults, low-voltage testing
25Sava Stanic, Suresh Subramaniam 0001 Distributed Hierarchical Monitoring and Alarm Management in Transparent Optical Networks. Search on Bibsonomy ICC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Shweta Chary, Michael L. Bushnell Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Combinational automatic test pattern generation for acyclic sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Vishal Suthar, Shantanu Dutt High-diagnosability online built-in self-test of FPGAs via iterative bootstrapping. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-tester (BISTer), roving tester (ROTE), FPGAs, functional testing, on-line testing, diagnosability
25Cristian Constantinescu Experimental evaluation of error-detection mechanisms. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Sandip Kundu, Sujit T. Zachariah, Sanjay Sengupta, Rajesh Galivanche Test Challenges in Nanometer Technologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF circuit marginality testing, process marginality testing, defect based testing, path delay testing
25Michael L. Morgan, Michael L. Arington MEND (abstract only): a self-improving diagnostic with deep knowledge. Search on Bibsonomy ACM Conference on Computer Science The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
25Sylvain Guilley, Laurent Sauvage, Jean-Luc Danger, Nidhal Selmane Fault Injection Resilience. Search on Bibsonomy FDTC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Fault Injection Attack (FIA), symmetric block encryption, Fault Injection Resilience (FIR), Differential Fault Analysis (DFA), Dual-rail with Precharge Logic (DPL), Side-Channel Attack (SCA), Denial of Service (DoS)
25Eun Sei Park, M. Ray Mercer, Thomas W. Williams The Total Delay Fault Model and Statistical Delay Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF delay fault model, delay fault coverage, statistical delay fault coverage, defect level model, logic testing, delay testing, delay faults
25Seyed H. Hosseini On Fault-Tolerant Structure, Distributed Fault-Diagnosis, Reconfiguration, and Recovery of the Array Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1989 DBLP  DOI  BibTeX  RDF fault-tolerant structure, distributed fault-diagnosis, faulty elements, decentralized form, parallel processing, fault tolerant computing, distributed processing, reconfiguration, recovery, array processors, hardware redundancy
24Yongwei Li, Zhenyu Wang, Xingde Han, Yalun Li High-voltage equipment condition monitoring and diagnosis system based on information fusion. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FBG sensing system, Neural network, Fault diagnosis, Information fusion, Condition monitoring
24Man Fai Lau, Ying Liu, Tsong Yueh Chen, Yuen-Tak Yu On Detecting Double Literal Faults in Boolean Expressions. Search on Bibsonomy Ada-Europe The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test case generation, Black-box testing, Fault-based testing, Boolean specification
24Baosheng Wang, Josh Yang, André Ivanov Reducing Test Time of Embedded SRAMs. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded SRAM test, Inductive Fault Analysis, Memory Redundancy, March Test, Test Time
24El Mostapha Aboulhamid, Younès Karkouri, Eduard Cerny On the generation of test patterns for multiple faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Combinational circuits, stuck-at faults, test pattern generation, multiple faults, fault analysis
24João Paulo Teixeira 0001, Isabel C. Teixeira, Carlos F. Beltrán Almeida, Fernando M. Gonçalves, Júlio Gonçalves A methodology for testability enhancement at layout level. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF physical design rules for testability, simulation, fault modeling, testability analysis
24Piet Engelke, Bernd Becker 0001, Michel Renovell, Jürgen Schlöffel, Bettina Braitling, Ilia Polian SUPERB: Simulator utilizing parallel evaluation of resistive bridges. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PPSFP, SPPFP, fault mapping, Resistive bridging faults, bridging fault simulation
24Tsong Yueh Chen, Man Fai Lau, Kwan Yong Sim, Chang-Ai Sun On detecting faults for Boolean expressions. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF MUMCUT strategy, Software testing, Fault detection, Specification based testing, Black-box testing, Fault based testing, Boolean specification
24Yves Joannon, Vincent Beroulle, Chantal Robach, Smail Tedjini, Jean-Louis Carbonéro Decreasing Test Qualification Time in AMS and RF Systems. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AMS and RF SoCs, parametric fault injection, behavioral modeling, fault-based test, design validation, VHDL-AMS, qualification
24Said Hamdioui, Zaid Al-Ars, Ad J. van de Goor Testing Static and Dynamic Faults in Random Access Memories. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF static faults, fault coverage, memory tests, dynamic faults, fault primitives
24Andrea Bondavalli, Silvano Chiaradonna, Felicita Di Giandomenico, Fabrizio Grandoni 0002 Threshold-Based Mechanisms to Discriminate Transient from Intermittent Faults. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault discrimination, threshold-based identification, transient and intermittent faults, fault diagnosis, modeling and evaluation
24Khaled Saab 0001, Naim Ben-Hamida, Bozena Kaminska Closing the gap between analog and digital. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF hard faults, fault modeling, fault simulation, test vector generation
24Hin-Sing Siu, Yeh-Hao Chin, Wei-Pang Yang Byzantine Agreement in the Presence of Mixed Faults on Processors and Links. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF synchronization, Byzantine agreement, fault-tolerant distributed system, hybrid fault model, general network
24Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Automatic test generation using genetically-engineered distinguishing sequences. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF two-phase algorithm, fault effects, DIGATE, genetic algorithms, genetic algorithm, fault diagnosis, logic testing, sequential circuits, sequential circuit, automatic testing, sequences, flip-flops, automatic test generation, distinguishing sequence
24Mukund Sivaraman, Andrzej J. Strojwas A diagnosability metric for parametric path delay faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF diagnosability metric, parametric path delay faults, test vector pairs, chip failure, fabrication process parameter variations, diagnosis framework, ISCAS'89 benchmark circuits, VLSI, fault diagnosis, logic testing, delays, timing, integrated circuit testing, failure analysis, diagnosability, delay fault testing, test set
24Anand Raghunathan, Srimat T. Chakradhar Acceleration techniques for dynamic vector compaction. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Dynamic equivalent and untestable fault analysis, Target fault switching, Support sets, Test compaction, Acceleration Techniques
24Roger M. Kieckhafer, Mohammad H. Azadmanesh Reaching Approximate Agreement with Mixed-Mode Faults. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF mixed-modefaults, approximate agreement, convergent votingalgorithm, worst-case Byzantine behavior, voting algorithms, reliability, fault tolerant computing, distributed processing, synchronisation, fault-tolerant distributed system
24Robert C. Aitken Diagnosis of leakage faults with IDDQ. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF leakage fault model, Fault diagnosis, I DDQ testing
24Ligang Wu 0001, Daniel W. C. Ho Fuzzy Filter Design for ItÔ Stochastic Systems With Application to Sensor Fault Detection. Search on Bibsonomy IEEE Trans. Fuzzy Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Youssef Benabboud, Alberto Bosio, Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Laroussi Bouzaida, Isabelle Izaute Comprehensive bridging fault diagnosis based on the SLAT paradigm. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Garrison W. Greenwood Attaining Fault Tolerance through Self-adaption: The Strengths and Weaknesses of Evolvable Hardware Approaches. Search on Bibsonomy WCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Luca Sterpone, M. A. Aguirre, Jonathan Noel Tombs, Hipólito Guzmán-Miranda On the design of tunable fault tolerant circuits on SRAM-based FPGAs for safety critical applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Zibin Zheng, Michael R. Lyu A QoS-Aware Middleware for Fault Tolerant Web Services. Search on Bibsonomy ISSRE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Patrick H. S. Brito, Rogério de Lemos, Cecília M. F. Rubira Development of Fault-Tolerant Software Systems Based on Architectural Abstractions. Search on Bibsonomy ECSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Fuad Abujarad, Sandeep S. Kulkarni Automated Addition of Fault-Tolerance to SCR Toolset: A Case Study. Search on Bibsonomy ICDCS Workshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Rui Gong, Kui Dai, Zhiying Wang 0003 Transient Fault Tolerance on Chip Multiprocessor Based on Dual and Triple Core Redundancy. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Lixuan Lu, Jin Jiang 0001 Joint Failure Importance for Noncoherent Fault Trees. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Irith Pomeranz, Sudhakar M. Reddy Generation of Broadside Transition-Fault Test Sets That Detect Four-Way Bridging Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 55561 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license