The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase circuit-under-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1987-1994 (18) 1995-1996 (26) 1997-1998 (31) 1999 (19) 2000-2001 (27) 2002 (24) 2003 (30) 2004 (24) 2005 (21) 2006-2007 (27) 2008 (16) 2009-2019 (7)
Publication types (Num. hits)
article(88) incollection(2) inproceedings(180)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 374 occurrences of 220 keywords

Results
Found 270 publication records. Showing 270 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
48Franco Fummi, Donatella Sciuto Implicit test pattern generation constrained to cellular automata embedding. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF implicit test pattern generation, cellular automata embedding, test sequence identification, autonomous finite state machine, off-line self-testable circuit, BIST strategy, deterministic test sequences, MCNC benchmarks, controller, built-in self test, stuck-at faults, ASIC design, circuit under test
47Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch An optimized BIST test pattern generator for delay testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST test pattern generator, robust delay fault coverage, single input change test sequence, compatible inputs, optimization, delays, built-in self-test, fault detection, delay testing, test length, area overhead, circuit under test
44Fidel Muradali, Janusz Rajski A self-driven test structure for pseudorandom testing of non-scan sequential circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-driven test structure, primary inputs, nonscan sequential circuits, test point structure, parallel pseudorandom test patterns, test mode flag, stuck-at fault coverage, ISCAS-89 benchmarks, logic testing, built-in self test, integrated circuit testing, design for testability, sequential circuits, BIST, automatic testing, circuit under test
44Nur A. Touba, Edward J. McCluskey Test point insertion based on path tracing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF probabilistic techniques, primary inputs, insertion methods, VLSI, VLSI, fault diagnosis, logic testing, logic testing, probability, built-in self test, timing, integrated circuit testing, BIST, automatic testing, fault coverage, test point insertion, path tracing, circuit-under-test
41Irith Pomeranz, Sudhakar M. Reddy On methods to match a test pattern generator to a circuit-under-test. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
40Mark C. Hansen, John P. Hayes High-level test generation using physically-induced faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-level test generation, physically-induced faults, industry-standard single stuck-line faults, independent functional faults, near-minimal size, fault diagnosis, logic testing, integrated circuit testing, design for testability, automatic testing, functional tests, failure analysis, benchmark circuits, circuit under test
39Nicola Nicolici, Bashir M. Al-Hashimi Multiple Scan Chains for Power Minimization during Test Application in Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Digital systems testing, design for test, low power circuits
36Chih-Ang Chen, Sandeep K. Gupta Efficient BIST TPG design and test set compaction via input reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Gizopoulos, Nektarios Kranitis, Constantin Halatsis A concurrent built-in self-test architecture based on a self-testing RAM. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Jeanne Tongbong, Salvador Mir, Jean-Louis Carbonéro Interactive presentation: Evaluation of test measures for LNA production testing using a multinormal statistical model. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Wen Ching Wu, Chung-Len Lee 0001, Ming Shae Wu, Jwu E. Chen, Magdy S. Abadir Oscillation Ring Delay Test for High Performance Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation ring testing, sensitized path, robust path dealy fault, hazard-free path delay fault, multiple reconvergent fanout, flunk lines, stuck at fault, delay fault testing, gate delay fault
32Biranchinath Sahu, Abhijit Chatterjee Automatic Test Generation for Analog Circuits Using Compact Test Transfer Function Models. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF test transfer function model, AC testing, optimization, fault simulation
31Walter M. Lindermeir, Helmut E. Graeb, Kurt Antreich Design based analog testing by Characteristic Observation Inference. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Ramakrishna Voorakaranam, Abhijit Chatterjee Hierarchical Test Generation for Analog Circuits Using Incremental Test Development. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Kewal K. Saluja, Rajiv Sharma, Charles R. Kime A concurrent testing technique for digital circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
28Chun-Yi Lee, Hung-Mao Lin, Fang-Min Wang, James Chien-Mo Li Cyclic-CPRS : A Diagnosis Technique for BISTed Circuits for Nano-meter Technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF systematic defects, cyclic-column parity row selection technique, built-in self tested circuits, cyclic scan chains, masking circuitry, transient errors, circuit under test, nanometer technologies
27Savita Banerjee, Srimat T. Chakradhar, Rabindra K. Roy Synchronous Test Generation Model for Asynchronous Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
26Andrej A. Morosov, Michael Gössel, Krishnendu Chakrabarty, Bhargab B. Bhattacharya Design of Parameterizable Error-Propagating Space Compactors for Response Observation. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Ender Yilmaz, Sule Ozev Adaptive test elimination for analog/RF circuits. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive testing
26Walter M. Lindermeir, Helmut E. Graeb, Kurt Antreich Analog testing by characteristic observation inference. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Paul M. Rosinger, Bashir M. Al-Hashimi, Nicola Nicolici Scan Architecture for Shift and Capture Cycle Power Reduction. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
24Hyunwoo Cho, Seh-Woong Jeong, Fabio Somenzi, Carl Pixley Synchronizing sequences and symbolic traversal techniques in test generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF implicit state enumeration, multiple observation time, test generation, Binary decision diagram, synchronizing sequence
24Mohamed A. El-Gamal, Hany L. Abdel-Malek, M. A. Sorour A neural-network-based approach for post-fabrication circuit tuning. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Clustering, Neural networks, Feature selection, Self organizing maps, Circuit tuning
24Alexej Dmitriev, Michael Gössel, Krishnendu Chakrabarty Robust Space Compaction of Test Responses. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Hamidreza Hashempour, Fred J. Meyer, Fabrizio Lombardi Test Time Reduction in a Manufacturing Environment by Combining BIST and ATE. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Hideyuki Ichihara, Kozo Kinoshita, Koji Isodono, Shigeki Nishikawa Channel Width Test Data Compression under a Limited Number of Test Inputs and Outputs. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Achintya Halder, Abhijit Chatterjee Automated Test Generation and Test Point Selection for Specification Test of Analog Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test point selection, automated test generation, specification testing, parametric failure
23Karim Arabi, Bozena Kaminska Testing analog and mixed-signal integrated circuits using oscillation-test method. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Karim Arabi, Bozena Kaminska Oscillation-test strategy for analog and mixed-signal integrated circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit oscillations, oscillation test strategy, analog ICs, low-cost test method, oscillation frequency deviation, wafer-probe testing, final production testing, ASIC testing, integrated circuit testing, operational amplifiers, analogue integrated circuits, mixed analogue-digital integrated circuits, production testing, analogue-digital conversion, mixed-signal ICs
23Hyoung B. Min, Hwei-Tsu Ann Luh, William A. Rogers Hierarchical test pattern generation: a cost model and implementation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Toshiyuki Matsunaga A Method of Generating Tests for Marginal Delays an Delay Faults in Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF marginal delay, test generation, combinational circuit, gate delay faults
22Lei Li 0036, Krishnendu Chakrabarty Test set embedding for deterministic BIST using a reconfigurable interconnection network. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Youhua Shi, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Design for Secure Test - A Case Study on Pipelined Advanced Encryption Standard. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Optimized reseeding by seed ordering and encoding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
22Aiman H. El-Maleh, Khaled Al-Utaibi An efficient test relaxation technique for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Aiman H. El-Maleh, Khaled Al-Utaibi On efficient extraction of partially specified test sets for synchronous sequential circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Aiman H. El-Maleh, Khaled Al-Utaibi An Efficient Test Relaxation Technique for Synchronous Sequential Circuits. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Bjørg Reppen, Einar J. Aas Combined probabilistic testability calculation and compact test generation for PLAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF probabilistic testability, Fault coverage, test pattern generation, programmable logic arrays
21Haralampos-G. D. Stratigopoulos, Salvador Mir, Ahcène Bounceur Evaluation of Analog/RF Test Measurements at the Design Stage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Srikanth Venkataraman, Sudhakar M. Reddy Fault Diagnosis and Fault Model Aliasing. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Lei Li 0036, Krishnendu Chakrabarty Deterministic BIST Based on a Reconfigurable Interconnection Network. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Functional test generation for delay faults in combinational circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF function-robust tests, functional delay fault model, delay faults, path delay faults, robust tests
21Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
20Manobendra Nath Mondal, Animesh Basak Chowdhury, Manjari Pradhan, Susmita Sur-Kolay, Bhargab B. Bhattacharya Fault Coverage of a Test Set on Structure-Preserving Siblings of a Circuit-Under-Test. Search on Bibsonomy ATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
20Jishun Kuang, Ouyang Xiong, Zhiqiang You A Novel BIST Scheme Using Test Vectors Applied by Circuit-under-Test Itself. Search on Bibsonomy ATS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
20Irith Pomeranz, Sudhakar M. Reddy A Learning-Based Method to Match a Test Pattern Generator to a Circuit-Under-Test. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Haibo Wang 0005, Suchitra Kulkarni, Spyros Tragoudas On-line Testing Field Programmable Analog Array Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Madhu K. Iyer, Michael L. Bushnell Effect of Noise on Analog Circuit Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog test generation, noise analysis
20Nilanjan Mukherjee 0001, Tapan J. Chakraborty, Sudipta Bhawmik A BIST scheme for the detection of path-delay faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Zhaoliang Pan, Melvin A. Breuer Estimating Error Rate in Defective Logic Using Signature Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Binning integrated circuits, effective yield, signature testing, error rate, error tolerance, yield loss
20Sudarshan Bahukudumbi, Krishna Bharath A Low Overhead High Speed Histogram Based Test Methodology for Analog Circuits and IP Cores. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pseudo-random testing, deterministic BIST, logic BIST
20Bhargab B. Bhattacharya, Alexej Dmitriev, Michael Gössel, Krishnendu Chakrabarty Synthesis of single-output space compactors for scan-based sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Mustapha Slamani, Karim Arabi Reducing Test Time in the High-Volume Production of Analog Circuits using Efficient Test-Vector Generation and Interpolation Techniques. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF analog circuits testing, interpolation technique, sensitivity analysis, frequency domain analysis, test vectors generation
20Paulo F. Flores, Horácio C. Neto, Krishnendu Chakrabarty, João Marques-Silva 0001 Test pattern generation for width compression in BIST. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
20Irith Pomeranz, Sudhakar M. Reddy Built-in test generation for synchronous sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF comparison units, built-in self-test, synchronous sequential circuits, at-speed test
20Yukihiro Kamiya, Takayuki Miki, Yoshihiro Iwadare Randomness Properties of Partial \gamma-\beta Planes as LSI Test Inputs and their Implementations. Search on Bibsonomy AAECC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Masaki Hashizume, Masahiro Ichimiya, Hiroyuki Yotsuyanagi, Takeomi Tamesada Electric field for detecting open leads in CMOS logic circuits by supply current testing. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Sasikumar Cherubal, Abhijit Chatterjee Parametric Fault Diagnosis for Analog Systems Using Functional Mapping. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Bapiraju Vinnakota, Jason Andrews Fast fault translation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Yukiya Miura, Hiroshi Yamazaki A Low-Loss Built-In Current Sensor. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF low-voltage LSIs, multiple power supplies, IDDQ testing, Built-in current sensor
19Lei Li 0036, Krishnendu Chakrabarty, Nur A. Touba Test data compression using dictionaries with selective entries and fixed-length indices. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF reduced pin-count testing, SoC testing, test application time, Embedded core testing, test data volume
19Andrzej Krasniewski, Slawomir Pilarski Circular self-test path: a low-cost BIST technique for VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Ehsan Atoofian, Zainalabedin Navabi A Test Approach for Look-Up Table Based FPGAs. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF LUT testing, TPG with LE, BIST, memory testing, FPGA testing
19Ehsan Atoofian, Zainalabedin Navabi A BIST Architecture for FPGA Look-Up Table Testing Reduces Reconfigurations. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Mehrdad Nourani, Mohammad Tehranipoor, Nisar Ahmed Low-Transition Test Pattern Generation for BIST-Based Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low power pattern generation, Test generation, Built-in tests, Testing strategies, Random generation
18Lei Li 0036, Krishnendu Chakrabarty, Seiji Kajihara, Shivakumar Swaminathan Efficient Space/Time Compression to Reduce Test Data Volume and Testing Time for IP Cores. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Christophe Fagot, Olivier Gascuel, Patrick Girard 0001, Christian Landrault A Ring Architecture Strategy for BIST Test Pattern Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Slawomir Pilarski, Andrzej Krasniewski, Tiko Kameda Estimating testing effectiveness of the circular self-test path technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
18Pramodchandran N. Variyam, Abhijit Chatterjee Test generation for comprehensive testing of linear analog circuits using transient response sampling. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Implicit functional testing, Transient testing, Linear Analog Circuits
17Ramakrishna Voorakaranam, Abhijit Chatterjee Test Generation for Accurate Prediction of Analog Specifications. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
17Lei Li 0036, Zhanglei Wang, Krishnendu Chakrabarty Scan-BIST based on cluster analysis and the encoding of repeating sequences. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF clustering test data volume, Built-in self-test (BIST), test compression
17Katherine Shu-Min Li, Chung-Len Lee 0001, Tagin Jiang, Chauchin Su, Jwu E. Chen Finite State Machine Synthesis for At-Speed Oscillation Testability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xrysovalantis Kavousianos, Dimitris Bakalis, Dimitris Nikolos, Spyros Tragoudas A new built-in TPG method for circuits with random patternresistant faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Xiaowei Li 0001, Huawei Li 0001, Yinghua Min Reducing Power Dissipation during At-Speed Test Application. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Test-pair Ordering, Power Dissipation, At-speed Test
17Vikram Iyengar, Krishnendu Chakrabarty, Brian T. Murray Deterministic Built-in Pattern Generation for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Comma coding, pattern decoding, statistical encoding, BIST, Huffman coding, run-length encoding, embedded-core testing, sequential circuit testing
17Yeong-Ruey Shieh, Cheng-Wen Wu DC control and observation structures for analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF level-sensitive scan-design, test points, DC voltage levels, diagnosis capability, calibration process, read-out voltage levels, VLSI, VLSI, fault diagnosis, controllability, controllability, integrated circuit testing, calibration, observability, observability, analog circuits, mixed signal circuits, mixed analogue-digital integrated circuits
17Donghoon Han, Selim Sermet Akbay, Soumendu Bhattacharya, Abhijit Chatterjee, William R. Eisenstadt On-Chip Self-Calibration of RF Circuits Using Specification-Driven Built-In Self Test (S-BIST). Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Soon-Jyh Chang, Chung-Len Lee 0001, Jwu E. Chen Structural Fault Based Specification Reduction for Testing Analog Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF specification-based test, analog test, fault-based test, test cost reduction
17Dimitrios Kagaris, Fillia Makedon, Spyros Tragoudas A method for pseudo-exhaustive test pattern generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Zhuo Zhang 0008, Sudhakar M. Reddy, Irith Pomeranz Warning: Launch off Shift Tests for Delay Faults May Contribute to Test Escapes. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Mohammad Hosseinabady, Abbas Banaiyan, Mahdi Nazm Bojnordi, Zainalabedin Navabi A concurrent testing method for NoC switches. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17P. Kalpana, K. Gunavathi A Novel Specification Based Test Pattern Generation Using Genetic Algorithm and Wavelets. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar Built-in Test Pattern Generation For High-Performance Cir cuits Using Twisted-Ring Counters. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
17Irith Pomeranz, Sudhakar M. Reddy Functional test generation for delay faults in combinational circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level realizations, logic testing, delays, test generation, test generators, combinational circuits, fault simulated, logic CAD, delay faults, functional fault model, functional test generation
17Wen-Ben Jone, Anita Gleason Analysis of Hamming count compaction scheme. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF index vector, spectral coefficients, Built-in self test, compaction, syndrome
16Weiguang Sheng, Liyi Xiao, Zhigang Mao Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithm, optimization, soft error, multi-objective
16Érika F. Cota, Luigi Carro, Marcelo Lubaszewski A Method to Diagnose Faults in Linear Analog Circuits using an Adaptive Tester. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16C. P. Ravikumar, Nitin Kakkar, Saurabh Chopra Mutual Testing based on Wavelet Transforms. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Mutual Testing, Discrete Wavelet Transform, At-Speed Testing
16Achintya Halder, Abhijit Chatterjee Specification Based Digital Compatible Built-in Test of Embedded Analog Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Alvernon Walker A Step Response Based Mixed-Signal BIST Approach . Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Maoxiang Yi, Huaguo Liang, Kaihua Zhan, Cuiyun Jiang Optimal LFSR-Coding Test Data Compression Based on Test Cube Dividing. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Zhanglei Wang, Krishnendu Chakrabarty Test Data Compression Using Selective Encoding of Scan Slices. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rashid Rashidzadeh, Majid Ahmadi, William C. Miller Test and Measurement of Analog and RF Cores in Mixed-Signal SoC Environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Sverre Wichlund, Frank Berntsen, Einar J. Aas Reducing ATE Bandwidth and memory requirements: A diagnosis friendly scan test response compactor. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Abdul Wahid Hakmi, Hans-Joachim Wunderlich, Valentin Gherman, Michael Garbers, Jürgen Schlöffel Implementing a Scheme for External Deterministic Self-Test. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Deterministic self-test, external BIST, test data compression, test resource partitioning
16Michael Gössel, Krishnendu Chakrabarty, Vitalij Ocheretnij, Andreas Leininger A Signature Analysis Technique for the Identification of Failing Vectors with Application to Scan-BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF algebraic analysis, intervals of test vectors, fault diagnosis, linearity, MISR
16Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya Easily Testable Realization of GRM and ESOP Networks for Detecting Stuck-at and Bridging Faults. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Lei Li 0036, Krishnendu Chakrabarty Test Data Compression Using Dictionaries with Fixed-Length Indices. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 270 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license