The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for retiming with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (31) 1994 (23) 1995 (32) 1996 (29) 1997 (23) 1998 (23) 1999 (29) 2000 (16) 2001 (17) 2002 (21) 2003 (25) 2004 (32) 2005 (25) 2006 (19) 2007 (18) 2008 (18) 2009-2010 (22) 2011-2012 (16) 2013-2014 (16) 2015-2016 (18) 2017-2019 (19) 2020-2023 (9)
Publication types (Num. hits)
article(153) incollection(4) inproceedings(321) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 451 occurrences of 214 keywords

Results
Found 481 publication records. Showing 481 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
186Tracy C. Denk, Keshab K. Parhi Two-dimensional retiming [VLSI design]. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
152Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
152Jason Cong, Chang Wu Optimal FPGA mapping and retiming with efficient initial state computation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
130Naresh Maheshwari, Sachin S. Sapatnekar Efficient retiming of large circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
108Chun Xue, Zili Shao, Meilin Liu, Mei Kang Qiu, Edwin Hsing-Mean Sha Optimizing Nested Loops with Iterational and Instructional Retiming. Search on Bibsonomy EUC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
102Jia Wang 0003, Hai Zhou 0001 An efficient incremental algorithm for min-area retiming. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF retiming
102Mongkol Ekpanyapong, Sung Kyu Lim Integrated retiming and simultaneous Vdd/Vth scaling for total power minimization. Search on Bibsonomy ISPD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF supply and threshold voltage scaling, low power design, retiming
102Jason Cong, Xin Yuan 0005 Multilevel global placement with retiming. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF physical hierarchy, placement, retiming, deep sub-micron
102Naresh Maheshwari, Sachin S. Sapatnekar A Practical Algorithm for Retiming Level-Clocked Circuits. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF level-clocked, retiming, clock skew, timing optimization
102Kumar N. Lalgudi, Marios C. Papaefthymiou Efficient retiming under a general delay model. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF retiming algorithm, general delay model, edge-triggered circuits, load-dependent gate delays, register delays, integer linear programming constraints, integer phonotonic programming formulation, linear programming, delays, timing, integer programming, logic design, logic design, logic circuits, clock skew, propagation delays, interconnect delays
102Srimat T. Chakradhar Optimum retiming of large sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimum retiming, large sequential circuits, unit delay model, optimum clock period, path graph, VLSI, linear programming, delays, timing, integer programming, sequential circuits, logic CAD, integer linear program, flip-flops, circuit CAD, fast algorithm, integrated logic circuits, circuit optimisation, VLSI circuits, linear program relaxation
97Jason Cong, Chang Wu Optimal FPGA Mapping and Retiming with Efficient Initial State Computation. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF congestion, global routing, quadratic placement, routing models, supply-demand, relaxed pins
97Aiman H. El-Maleh, Thomas E. Marchok, Janusz Rajski, Wojciech Maly Behavior and testability preservation under the retiming transformation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
97Kumar N. Lalgudi, Marios C. Papaefthymiou Retiming edge-triggered circuits under general delay models. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
91Yoshinobu Higami, Seiji Kajihara, Kozo Kinoshita Test sequence compaction by reduced scan shift and retiming. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reduced scan shift, full scan designed circuits, computational complexity, logic testing, timing, transformation, design for testability, sequential circuits, sequential circuit, logic CAD, flip-flops, flip-flops, retiming, computing time, test length, test sequence generation, test sequence compaction
85Jie-Hong Roland Jiang, Wei-Lun Hung Inductive equivalence checking under retiming and resynthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
85Jason Cong, Sung Kyu Lim Retiming-based timing analysis with an application to mincut-based global placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
85Andreas Kuehlmann, Jason Baumgartner Transformation-Based Verification Using Generalized Retiming. Search on Bibsonomy CAV The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
85Narendra V. Shenoy, Richard L. Rudell Efficient implementation of retiming. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
80Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Simultaneous time slack budgeting and retiming for dual-Vdd FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, low power, retiming
80Timothy W. O'Neil, Edwin Hsing-Mean Sha Combining Extended Retiming and Unfolding for Rate-Optimal Graph Transformation. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF scheduling, graph transformation, retiming, unfolding, data-flow graphs, timing optimization
80Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi A new approach for integration of min-area retiming and min-delay padding for simultaneously addressing short-path and long-path constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Minimum area retiming, application of mincost network flow, longpath circuit constraints, minimum delay padding, shortpath circuit constraints
80Naresh Maheshwari, Sachin S. Sapatnekar Minimum area retiming with equivalent initial states. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF VLSI, Sequential Circuits, Retiming, Design Automation, Timing Optimization, Area Optimization
80Samir Lejmi, Bozena Kaminska, Bechir Ayari Retiming, resynthesis, and partitioning for the pseudo-exhaustive testing of sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF segmentation cells, segmentation edges, logic testing, partitioning, timing, sequential circuits, sequential circuits, iterative methods, circuit analysis computing, retiming, iterative algorithm, circuit optimisation, logic partitioning, logic optimization, resynthesis, synchronous circuits, pseudo-exhaustive testing
78Tracy C. Denk, Keshab K. Parhi Lower bounds on memory requirements for statically scheduled DSP programs. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
74Hai Zhou 0001 Retiming and resynthesis with sweep are complete for sequential transformation. Search on Bibsonomy FMCAD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
74Kenneth Eguro, Scott Hauck Simultaneous Retiming and Placement for Pipelined Netlists. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
74Dennis K. Y. Tong, Evangeline F. Y. Young, Chris C. N. Chu, Sampath Dechu Wire Retiming Problem With Net Topology Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74Mongkol Ekpanyapong, Xin Zhao 0001, Sung Kyu Lim An Efficient Computation of Statistically Critical Sequential Paths Under Retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
74Jie-Hong Roland Jiang, Robert K. Brayton Retiming and Resynthesis: A Complexity Perspective. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
74Jie-Hong Roland Jiang On Some Transformation Invariants Under Retiming and Resynthesis. Search on Bibsonomy TACAS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
74Maher N. Mneimneh, Karem A. Sakallah, John Moondanos Preserving synchronizing sequences of sequential circuits after retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
74Ingmar Neumann, Wolfgang Kunz Layout driven retiming using the coupled edge timing model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
74Peter Suaris, Dongsheng Wang 0012, Pei-Ning Guo, Nan-Chi Chou A physical retiming algorithm for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
74Xun Liu, Marios C. Papaefthymiou, Eby G. Friedman Retiming and clock scheduling for digital circuit optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
74Klaus Eckl, Christian Legl Retiming Sequential Circuits with Multiple Register Classes. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
74Naresh Maheshwari, Sachin S. Sapatnekar An Improved Algorithm for Minimum-Area Retiming. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
74Nicholas Weaver, Yury Markovsky, Yatish Patel, John Wawrzynek Post-placement C-slow retiming for the xilinx virtex FPGA. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF C-slow retiming, FPGA CAD, FPGA optimization, retiming
70Sachin S. Sapatnekar, Rahul B. Deokar Utilizing the retiming-skew equivalence in a practical algorithm for retiming large circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
68In-Ho Moon Compositional verification of retiming and sequential optimizations. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF conditional equivalence, retime offset, sequential equivalence, retiming, compositional verification
68Chun Xue, Zili Shao, Meilin Liu, Edwin Hsing-Mean Sha Iterational retiming: maximize iteration-level parallelism for nested loops. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF optimization, partition, retiming, nested loops
68Seokwoo Lee, Shidhartha Das, Toan Pham, Todd M. Austin, David T. Blaauw, Trevor N. Mudge Reducing pipeline energy demands with local DVS and dynamic retiming. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF dynamic retiming with global DVS, local DVS, razor
68Jia Wang 0003, Hai Zhou 0001 Minimal period retiming under process variations. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF process variations, retiming, statistical timing analysis
68Noureddine Chabini, Ismaïl Chabini, El Mostapha Aboulhamid, Yvon Savaria Unification of basic retiming and supply voltage scaling to minimize dynamic power consumption for synchronous digital designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF supply voltage scaling, performance, power consumption, CMOS, retiming, digital design
68Ingmar Neumann, Kolja Sulimma, Wolfgang Kunz Accelerating Retiming Under the Coupled-Edge Timing Model. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF retiming, timing optimization
68Surendra Bommu, Niall O'Neill, Maciej J. Ciesielski Retiming-based factorization for sequential logic optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF finite stat machines, retiming, sequential synthesis
68Zulan Huang, Yizheng Ye, Zhigang Mao A New Algorithm for Retiming-Based Partial Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF retiming, Partial scan, minimum feedback vertex set
68Naresh Maheshwari, Sachin S. Sapatnekar Efficient Minarea Retiming of Large Level-Clocked Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Level-clocked, Optimization, Synthesis, Retiming, Area
68Liang-Fang Chao, Edwin Hsing-Mean Sha Scheduling Data-Flow Graphs via Retiming and Unfolding. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF scheduling, parallel processing, retiming, unfolding, Data-flow graphs, loop parallelization
68Nelson L. Passos, Edwin Hsing-Mean Sha Achieving Full Parallelism Using Multidimensional Retiming. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multidimensional data-flow graphs, instruction level parallelism, VLIW, Retiming, loop transformation, superscalar, nested loops
68Debesh Kumar Das, Bhargab B. Bhattacharya Does retiming affect redundancy in sequential circuits? Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF combinational redundancy, sequential redundancy, fault diagnosis, logic testing, timing, redundancy, test generation, design for testability, sequential circuits, sequential circuit, fault, retiming, logic optimization, operation speed
63Nikolaos D. Liveris, Hai Zhou 0001, Prithviraj Banerjee Complete-k-distinguishability for retiming and resynthesis equivalence checking without restricting synthesis. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
63Chuan Lin 0002, Hai Zhou 0001 Wire retiming as fixpoint computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
63Noureddine Chabini, Wayne H. Wolf Reducing dynamic power consumption in synchronous sequential digital designs using retiming and supply voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Chuan Lin 0002, Hai Zhou 0001 Wire Retiming for System-on-Chip by Fixpoint Computation. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
63Ulrich Seidl, Klaus Eckl, Frank M. Johannes Performance-Directed Retiming for FPGAs Using Post-Placement Delay Information. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Peichen Pan, Guohua Chen Optimal Retiming for Initial State Computation. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
63Pierre-Yves Calland, Anne Mignotte, Olivier Peyran, Yves Robert, Frédéric Vivien Retiming DAGs [direct acyclic graph]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
63Tolga Soyata, Eby G. Friedman, James H. Mulligan Jr. Incorporating interconnect, register, and clock distribution delays into the retiming process. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
63Guy Even, Ilan Y. Spillinger, Leon Stok Retiming revisited and reversed. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
63Babette van Antwerpen-de Fluiter, Emile H. L. Aarts, Jan H. M. Korst, Wim F. J. Verhaegh, Albert van der Werf The complexity of generalized retiming problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
63Brian Lockyear, Carl Ebeling Optimal retiming of level-clocked circuits using symmetric clock schedules. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
63Hans-Georg Martin Retiming for Circuits with Enable Registers. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF enable registers, circuit retiming, combinational paths, D-Flipflops, retiming algorithm, sequential elements, high level synthesis, high level synthesis, digital circuits
61Dennis K. Y. Tong, Evangeline F. Y. Young Performance-driven register insertion in placement. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF post-retiming, register insertion, placement
57Smita Krishnaswamy, Igor L. Markov, John P. Hayes Improving testability and soft-error resilience through retiming. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF testability, soft errors, retiming
57Yu Hu 0002, Yan Lin 0001, Lei He 0001, Tim Tuan Physical synthesis for FPGA interconnect power reduction by dual-Vdd budgeting and retiming. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, Low power, retiming
57Nikolaos D. Liveris, Chuan Lin 0002, J. Wang, Hai Zhou 0001, Prithviraj Banerjee Retiming for Synchronous Data Flow Graphs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cycle length, synchronous data flow graphs, retiming
57Aaron P. Hurst, Alan Mishchenko, Robert K. Brayton Fast Minimum-Register Retiming via Binary Maximum-Flow. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sequential Verification, Retiming, Maximum Flow, State Minimization
57Noureddine Chabini, Wayne H. Wolf An approach for integrating basic retiming and software pipelining. Search on Bibsonomy EMSOFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded systems, system-on-chip, timings, instruction-level parallelism, software pipelining, VLIW, retiming, superscalar processor, peak power, code size
57Pierre-Yves Calland, Alain Darte, Yves Robert Circuit Retiming Applied to Decomposed Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF circuit retiming, Software pipelining, list scheduling, modulo scheduling, cyclic scheduling
57Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Synthesis of Sequential Circuits by Redundancy Removal and Retiming. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synthesis of sequential circuits, sequentially redundant fault, retiming, redundant fault, redundancy removal
57Vigyan Singhal, Sharad Malik, Robert K. Brayton The case for retiming with explicit reset circuitry. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reset state, synchronous reset, asynchronous reset, Retiming, initial state
57Arun Balakrishnan, Srimat T. Chakradhar Retiming with logic duplication transformation: theory and an application to partial scan. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF logic duplication transformation, partial scan application, RLD configurations, RLD transformation, testability metrics, scan flip-flops, sequential circuit design, linear programming, timing, integer programming, logic design, sequential circuits, logic CAD, polynomial time algorithm, integer linear program, flip-flops, retiming, integrated circuit design, circuit CAD, integrated logic circuits, objective function
57Sven Simon 0001, Ralf Bucher, Josef A. Nossek Retiming of synchronous circuits with variable topology. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF variable topology, combinational elements selection, circuit graph, optimization, graph theory, linear programming, delays, timing, interconnections, logic design, network topology, logic CAD, retiming, circuit CAD, circuit optimisation, synchronous circuits
56Dmitry Bufistov, Jordi Cortadella, Michael Kishinevsky, Sachin S. Sapatnekar A general model for performance optimization of sequential systems. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
56Chao-Yang Yeh, Malgorzata Marek-Sadowska Sequential delay budgeting with interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay budgeting, sequential circuits, interconnect prediction
56Naresh Maheshwari, Sachin S. Sapatnekar Optimizing large multiphase level-clocked circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Jia Wang 0003, Hai Zhou 0001 Risk aversion min-period retiming under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
52Cristian Soviani, Olivier Tardieu, Stephen A. Edwards Optimizing Sequential Cycles Through Shannon Decomposition and Retiming. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Cristian Soviani, Olivier Tardieu, Stephen A. Edwards Optimizing sequential cycles through Shannon decomposition and retiming. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52James McCann, Nancy S. Pollard, Siddhartha S. Srinivasa Physics-based motion retiming. Search on Bibsonomy Symposium on Computer Animation The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
52Peter Suaris, Dongsheng Wang 0012, Nan-Chi Chou A practical cut-based physical retiming algorithm for field programmable gate arrays. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Miklós Bartha Strong Retiming Equivalence of Synchronous Schemes. Search on Bibsonomy CIAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Hai Zhou 0001, Chuan Lin 0002 Retiming for wire pipelining in system-on-chip. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Chuan Lin 0002, Hai Zhou 0001 Optimal wire retiming without binary search. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
52Ruibing Lu, Cheng-Kok Koh Interconnect Planning with Local Area Constrained Retiming. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
52Ingmar Neumann, Wolfgang Kunz Tight coupling of timing-driven placement and retiming. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
52Zhan Yu, Kei-Yong Khoo, Alan N. Willson Jr. The use of carry-save representation in joint module selection and retiming. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
52Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi Marsh: min-area retiming with setup and hold constraints. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Felipe Fernández, Ángel Sánchez Application of Multidimensional Retiming and Matroid Theory to DSP Algorithm Parallelization. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
52Tolga Soyata, Eby G. Friedman Retiming with non-zero clock skew, variable register, and interconnect delay. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
50Meilin Liu, Qingfeng Zhuge, Zili Shao, Edwin Hsing-Mean Sha General loop fusion technique for nested loops considering timing and code size. Search on Bibsonomy CASES The full citation details ... 2004 DBLP  DOI  BibTeX  RDF embedded DSP, scheduling, retiming, code size, loop fusion
50Sissades Tongsima, Edwin Hsing-Mean Sha, Chantana Chantrapornchai, David R. Surma, Nelson L. Passos Probabilistic Loop Scheduling for Applications with Uncertain Execution Time. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF rotation scheduling, Scheduling, retiming, probabilistic approach, loop pipelining
50Peichen Pan, C. L. Liu 0001 Optimal clock period FPGA technology mapping for sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, retiming, technology mapping, look-up tables, logic replication, clock period, sequential synthesis
46Hai Zhou 0001 A new efficient retiming algorithm derived by formal manipulation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Clockperiod minimization, algorithm derivation, retiming
46Chuan Lin 0002, Hai Zhou 0001 An efficient retiming algorithm under setup and hold constraints. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF retiming
46Deshanand P. Singh, Valavan Manohararajah, Stephen Dean Brown Incremental retiming for FPGA physical synthesis. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, retiming, physical synthesis
46Yu-Lung Hsu, Sying-Jyan Wang Retiming-based logic synthesis for low-power. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF switching actvity, low-power, logic design, retiming
46Farhana Sheikh, Andreas Kuehlmann, Kurt Keutzer Minimum-power retiming for dual-supply CMOS circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF dual-supply, retiming theory, low-power, synthesis, low-power design
Displaying result #1 - #100 of 481 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license