The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transistors with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1968 (15) 1970-1985 (19) 1986-1988 (32) 1989 (15) 1990 (15) 1991-1992 (30) 1993 (18) 1994 (24) 1995 (41) 1996 (38) 1997 (47) 1998 (51) 1999 (89) 2000 (63) 2001 (95) 2002 (136) 2003 (166) 2004 (141) 2005 (193) 2006 (234) 2007 (235) 2008 (225) 2009 (117) 2010 (83) 2011 (59) 2012 (87) 2013 (105) 2014 (80) 2015 (98) 2016 (91) 2017 (87) 2018 (118) 2019 (100) 2020 (72) 2021 (97) 2022 (92) 2023 (109) 2024 (12)
Publication types (Num. hits)
article(1129) book(1) data(5) incollection(7) inproceedings(2118) phdthesis(69)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1260 occurrences of 713 keywords

Results
Found 3329 publication records. Showing 3329 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
57Eduardo Conrad Jr., Fernando da Rocha Paixão Cortes, Sergio Bampi, Alessandro Girardi Early voltage and saturation voltage improvement in deep sub-micron technologies using associations of transistors. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TAT, TST, association of transistors, measurements, device modeling
57Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eduardo Conrad Jr., Sergio Bampi T-shaped association of transistors: modeling of multiple channel lengths and regular associations. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF associations of transistors, modeling, analog design, MOSFET
51Jin-Kyu Park, Keun-Ho Lee, Chang-Sub Lee, Gi-Young Yang, Young-Kwan Park, Jeong-Taek Kong Characterizing the Current Degradation of Abnormally Structured MOS Transistors Using a 3D Poisson Solver. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF CURRENT DEGRADATION, ABNORMALLY STRUCTURED MOS, MODELING
51Shoujue Wang, Xunwei Wu, Hongjuan Feng The High-Speed Ternary Logic Gates Based on the Multiple beta Transistors. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high speed ternary logic gates, multiple /spl beta/ transistors, multiple emitter transistor, current gain, linear AND/OR gates, multi valued literal circuits, high speed multi valued logic circuits, multivalued logic circuits, logic gates, ternary logic, transistors
45Sanjay Rekhi, J. Donald Trotter HAL: heuristic algorithms for layout synthesis. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF layout synthesis, graph theory based algorithms, leaf cells, common poly gates, 1-1/2-d layout style, common circuit nodes, transistor sets, symbolic layouts, static dual type, static CMOS circuitry, pullup network, pulldown network, dynamic logic styles, graph theory, network topology, logic CAD, heuristic algorithms, circuit layout CAD, CMOS logic circuits, CMOS circuits, GENIE, run time efficient, layout area
45Sherif A. Tawfik, Volkan Kursun Compact FinFET Memory Circuits with P-Type Data Access Transistors for Low Leakage and Robust Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
45Changbo Long, Jinjun Xiong, Lei He 0001 On optimal physical synthesis of sleep transistors. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
44Takao Waho Resonant Tunneling Transistor and Its Application to Multiple-Valued Logic Circuits. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF resonant tunnelling transistors, resonant tunneling transistors, multiple-valued logic circuits, multiple stable states, coupled-quantum-well, monostable-multistable logic circuits, multivalued logic circuits, resonant tunneling diodes, circuit stability
44G. Hari Rama Krishna, Amit K. Aditya, Nirmal B. Chakrabarti, Swapna Banerjee Analysis of temperature dependence of Si-Ge HBT. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF semiconductor materials, heterojunction bipolar transistors, Ge-Si alloys, heterojunction bipolar transistors, Ge mole-fraction, two dimensional device simulator, BISOF, current gain, graded HBT, 200 to 300 K, simulation, finite element method, finite element analysis, FEM, temperature dependence, SiGe, thermal analysis, semiconductor device models
39Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Kuen-Yu Tsai, Meng-Fu You, Yi-Chang Lu, Philip C. W. Ng A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Vinay Saripalli, Vijaykrishnan Narayanan, Suman Datta Ultra Low Energy Binary Decision Diagram Circuits Using Few Electron Transistors. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-energy circuits, single electron transistors, binary decision diagram logic circuits
38Rafail Lashevsky, K. Takaara, M. Souma The efficiency of neuron-MOS transistors in threshold logic. Search on Bibsonomy Soft Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Neuron MOS-transistors, threshold gate with alterable parameters, threshold logic
38Yen-Kuei Chu, Hsiu-Sheng Lin, Po-Chou Lai Research of a Fast High Voltage Semiconductor Switch. Search on Bibsonomy ISPA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Pulse Forming Networks (PFNs), Pulse Repetition Frequency (PRF), Metal Oxide Semiconductors Field Effect Transistors (MOSFETs), Insulated Gate Bipolar Transistors (IGBTs)
38Lutz J. Micheel, Hans L. Hartnagel Interband RTDs with Nanoelectronic HBT-LED Structures for Multiple-Valued Computation. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF heterojunction bipolar transistors, resonant tunnelling devices, light emitting devices, interband RTDs, resonant tunnelling devices, nanoelectronic HBT-LED structures, multiple-valued computation, nanoelectronic arrays, complex signal processing methods, HBT-LED-RTD circuitry, heterojunction bipolar transistors, light emitting devices, internal optical methods, signal summation, precision photon streams, positive-digit radix-2 MVL, A/D conversion capability, optical isolation, buried optical interconnects, microcavity lasers, signal processing, optical interconnections, optical interconnects, multiple-valued logic, multivalued logic circuits, thresholding functions, analogue-digital conversion
38Hong Hao, Edward J. McCluskey Analysis of Gate Oxide Shorts in CMOS Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF resistance dependence, voltage dependence, pattern dependence, logic gate operation, p-channel transistors, n-channel transistors, CMOS integrated circuits, integrated logic circuits, CMOS circuits, logic gates, defect models, temperature dependence, gate oxide shorts, semiconductor device models
32Rajesh Garg, Sunil P. Khatri A novel, highly SEU tolerant digital circuit design approach. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Robert J. Bonneau, George O. Ramseyer, Tom Renz, Claire Thiem A Mathematical Architecture for Molecular Computing. Search on Bibsonomy AIPR The full citation details ... 2007 DBLP  DOI  BibTeX  RDF molecular computing
32Claas Cornelius, Frank Sill, Hagen Sämrow, Jakob Salzmann, Dirk Timmermann, Diógenes Cecilio da Silva Jr. Encountering gate oxide breakdown with shadow transistors to increase reliability. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF gate oxide breakdown, modeling, redundancy, logic design, nanotechnology, organic computing, transistor
32Z. Huang, Yvon Savaria, Mohamad Sawan, R. Meinga High-voltage operational amplifier based on dual floating-gate transistors. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Mustapha Chérif-Eddine Yagoub, Jing Xian Li, Farah A. Mohammadi Méthode Optimale de Modélisation de Transistors Haute Fréquence. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32David W. Graham, Ethan Farquhar, Brian P. Degnan, Christal Gordon, Paul E. Hasler Indirect programming of floating-gate transistors. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Paula López 0001, Matthias Oberst, Harald Neubauer, Johann Hauer, Diego Cabello Performance analysis of high-speed MOS transistors with different layout styles. Search on Bibsonomy ISCAS (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Alessandro Girardi, Sergio Bampi LIT - An Automatic Layout Generation Tool for Trapezoidal Association of Transistors for Basic Analog Building Blocks. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Alessandro Girardi, Fernando da Rocha Paixão Cortes, Eric E. Fabris, Sergio Bampi Analog IC Modules Design Using Trapezoidal Association of MOS Transistors in 0.35µm Technology. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32João P. B. Botelho, Leonardo Bruno de Sá, Pedro F. Vieira, Antonio Carneiro de Mesquita Filho An Experiment on Nonlinear Synthesis Using Evolutionary Techniques Based only on CMOS Transistors. Search on Bibsonomy Evolvable Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Nihar R. Mohapatra, Madhav P. Desai, V. Ramgopal Rao Detailed Analysis of FIBL in MOS Transistors with High-K Gate Dielectrics. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Mike Sheng, Jonathan Rose Mixing buffers and pass transistors in FPGA routing architectures. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Ming-Jiang Zhou, Herbert De Smet, Anita De Bruycker, André Van Calster A 2-D boundary element method approach to the simulation of DMOS transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
32Satoshi Sugahara, Masaaki Tanaka Spin MOSFETs as a basis for spintronics. Search on Bibsonomy ACM Trans. Storage The full citation details ... 2006 DBLP  DOI  BibTeX  RDF spin MOSFETs, spin transistors, MOSFETs, Spintronics
32Michel Renovell, Jean Marc Gallière, Florence Azaïs, Yves Bertrand Modeling the Random Parameters Effects in a Non-Split Model of Gate Oxide Short. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF minimal-length transistors, fault modeling, gate oxide short
32Jiann-Shiun Yuan Overview of SiGe Technology Modeling and Application. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SiGe heterojunction bipolar transistors, wireless communications
32Michael S. Shur, Tor A. Fjeldly, Trond Ytterdal Transistor Modeling for the VDSM Era. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF field effect transistors, parameter extraction, SPICE, device modeling
32Chung-Len Lee, Horng Nan Chern, Min Shung Liao, Hui Min Wang On Designing of 4-Valued Memory with Double-Gate TFT. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film transistors, 4-valued memory, double-gate TFT, 4 valued memory cell, double gate thin film transistor, double gate TFT, HSPICE simulation, resistor load, CMOS load basic block circuit, memory cell circuits, SRAM cell circuit, memory architecture, integrated circuit design, multivalued logic, SPICE, circuit design, multivalued logic circuits, SRAM chips, CMOS memory circuits, equivalent circuits, equivalent circuit
32Vikas Kaushal, Quentin Diduck, Martin Margala Study of leakage current mechanisms in ballistic deflection transistors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ballistic transport, current leakage mechanism, deflection transistors, silvaco simulation, geometry, monte carlo simulation
32Arijit Raychowdhury, Xuanyao Fong, Qikai Chen, Kaushik Roy 0001 Analysis of super cut-off transistors for ultralow power digital logic circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF carbon nanotube FETs, tunneling transistors
26John Keane 0001, Hanyong Eom, Tony Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim Stack Sizing for Optimal Current Drivability in Subthreshold Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Christophe Giacomotto, Mandeep Singh, Milena Vratonjic, Vojin G. Oklobdzija Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Clocked storage elements, VLSI, power consumption, flip-flops, voltage scaling, clock gating, power gating, energy optimization, MTCMOS, circuit optimization, circuit tuning, circuit analysis
26Sherif A. Tawfik, Volkan Kursun Low power and robust 7T dual-Vt SRAM circuit. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Zhiyu Liu, Volkan Kursun PMOS-Only Sleep Switch Dual-Threshold Voltage Domino Logic in Sub-65-nm CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Jaume Abella 0001, Xavier Vera, Antonio González 0001 Penelope: The NBTI-Aware Processor. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Bernabé Linares-Barranco, Teresa Serrano-Gotarredona A Physical Interpretation of the Distance Term in Pelgrom's Mismatch Model results in very Efficient CAD. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Chanseok Hwang, Peng Rong, Massoud Pedram Sleep transistor distribution in row-based MTCMOS designs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF leakage minimization, placement, MTCMOS
26John Keane 0001, Hanyong Eom, Tony Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF subthreshold logic, ultra-low power design, logical effort
26Andrea Lodi 0002, Luca Ciccarelli, Roberto Giansante Combining low-leakage techniques for FPGA routing design. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low leakage, FPGA, power
26Shouli Yan, Jingyu Hu, Tongyu Song, Edgar Sánchez-Sinencio A constant-gm rail-to-rail op amp input stage using dynamic current scaling technique. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Shrirang K. Karandikar, Sachin S. Sapatnekar Technology mapping for SOI domino logic incorporating solutions for the parasitic bipolar effect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Kuo-Hsing Cheng, Yung-Hsiang Lin A dual-pulse-clock double edge triggered flip-flop for low voltage and high speed application. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Robert W. Newcomb, Chai-Hung Yang, Angela Hodge-Miller Quantum dot neural network neurons. Search on Bibsonomy ICARCV The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Teresa Serrano-Gotarredona, Bernabé Linares-Barranco, Andreas G. Andreou A general subthreshold MOS translinear theorem. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Tak-Kwong Ng, S. Lennart Johnsson Generation of layouts from MOS circuit schematics: a graph theoretic approach. Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
26Shuo Wang, Jianwei Dai, El-Sayed A. M. Hasaneen, Lei Wang 0003, Faquir C. Jain Utilizing quantum dot transistors with programmable threshold voltages for low-power mobile computing. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF threshold voltage and quantum dot transistor, Low power
26Ratul Kumar Baruah, Santanu Mahapatra Concept of "Crossover Point" and its Application on Threshold Voltage Definition for Undoped-Body Transistors. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
26Aswin Sreedhar, Sandip Kundu Modeling and analysis of non-rectangular transistors caused by lithographic distortions. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Shuo Wang, Jianwei Dai, El-Sayed A. M. Hasaneen, Lei Wang 0003, Faquir C. Jain Programmable threshold voltage using quantum dot transistors for low-power mobile computing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Viktor Gruev, Zheng Yang 0004, Jan Van der Spiegel Low power linear current mode imager with 1.5 transistors per pixel. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Yukiya Miura, Jiro Kato Diagnosis of Analog Circuits by Using Multiple Transistors and Data Sampling. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Ehsan Pakbaznia, Farzan Fallah, Massoud Pedram Sizing and placement of charge recycling transistors in MTCMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Zbysek Gajda, Lukás Sekanina Reducing the number of transistors in digital circuits using gate-level evolutionary design. Search on Bibsonomy GECCO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF digital circuits, evolvable hardware, evolutionary design
26Yiming Li 0005, Chih-Hong Hwang, Shao-Ming Yu Numerical Simulation of Static Noise Margin for a Six-Transistor Static Random Access Memory Cell with 32nm Fin-Typed Field Effect Transistors. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF computational statistics, SRAM, modeling and simulation, FinFET
26Zheng Yang 0004, Viktor Gruev, Jan Van der Spiegel Low Fixed Pattern Noise Current-mode Imager Using Velocity Saturated Readout Transistors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26David W. Graham, Paul E. Hasler Run-Time Programming of Analog Circuits Using Floating-Gate Transistors. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Mahdi Pourfath, Hans Kosina Fast Convergent Schrödinger-Poisson Solver for the Static and Dynamic Analysis of Carbon Nanotube Field Effect Transistors. Search on Bibsonomy LSSC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Katsuhiko Degawa, Takafumi Aoki, Hiroshi Inokawa, Tatsuo Higuchi 0001, Yasuo Takahashi A Two-Bit-per-Cell Content-Addressable Memory Using Single-Electron Transistors. Search on Bibsonomy ISMVL The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Yiming Li 0005, Kuen-Yu Huang Numerical Simulation of Self-heating InGaP/GaAs Heterojunction Bipolar Transistors. Search on Bibsonomy International Conference on Computational Science (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Walid Elgharbawy, Pradeep Golconda, Ashok Kumar 0001, Magdy A. Bayoumi A new gate-level body biasing technique for PMOS transistors in subthreshold CMOS circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Pedro F. Vieira, Leonardo Bruno de Sá, João P. B. Botelho, Antonio Carneiro de Mesquita Filho Evolutionary Synthesis of Analog Circuits Using Only MOS Transistors. Search on Bibsonomy Evolvable Hardware The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Ulf Schlichtmann Systems Are Made from Transistors: UDSM Technology Creates New Challenges for Library and IC Development. Search on Bibsonomy DSD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Jung Hyun Choi, Sergio Bampi OTA Amplifiers Design on Digital Sea-of-Transistors Array. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Kuen-Jong Lee, Chih-Nan Wang, Rajiv Gupta 0002, Melvin A. Breuer An integrated system for assigning signal flow directions to CMOS transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Yusuf Leblebici, Sung-Mo Kang Modeling of nMOS transistors for simulation of hot-carrier-induced device and circuit degradation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
26M. Sytrzycki Modeling of gate oxide shorts in MOS transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
26Geoffrey M. Brown, Miriam Leeser From Programs to Transistors: Verifying Hardware Synthesis Tools. Search on Bibsonomy Hardware Specification, Verification and Synthesis The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
25Liangpeng Guo, Yici Cai, Qiang Zhou 0001, Le Kang, Xianlong Hong A novel performance driven power gating based on distributed sleep transistor network. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
25Swarup Bhunia, Massood Tabib-Azar, Daniel G. Saab Ultralow-Power Reconfigurable Computing with Complementary Nano-Electromechanical Carbon Nanotube Switches. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF reconfigurable instant-on system, ultralow-power reconfigurable computing, complementary nanoelectromechanical carbon nanotube switches, coplanar carbon nanotubes, low operation voltages, built-in energy storage, CNEMS, stable on-off state, latching mechanism, nonvolatile memory-mode operation, CMOS transistors, system development, leakage current
25Yukiya Miura Proposal of Fault Diagnosis of Analog Circuits by Combining Operation-Region Model and X-Y Zoning Method: Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MOS transistors, operation-region model, X-Y zoning method, fault diagnosis, analog circuits
25Sergio Bermejo Independent Component Analysis for Solid-State Chemical Sensor Arrays. Search on Bibsonomy Appl. Intell. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF chemical sensing, ion-selective field effect transistors, independent component analysis, array processing
25Paul Beckett Exploiting multiple functionality for nano-scale reconfigurable systems. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF chalcogenide, double gate transistors, multi-valued RAM, multiple functionality, resonant tunneling, nanotechnology, reconfigurable systems, carbon nanotube, nanoelectronics, RTD
25Adrian M. Ionescu, Michel J. Declercq, Santanu Mahapatra, Kaustav Banerjee, Jacques Gautier Few electron devices: towards hybrid CMOS-SET integrated circuits. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Iinverter, hybrid CMOS-SET Circuits, single-Electron transistors, ultimate CMOS, low power, quantizer, nanoelectronics
25Carlos Montemayor, Marie Sullivan, Jen-Tien Yen, Pete Wilson, Richard Evers, K. R. Kishore The PowerPC 603e microprocessor: an enhanced, low-power, superscalar microprocessor. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF PowerPC 603e microprocessor, low-power superscalar microprocessor, portable products, on-chip instruction, cache associativity, bus modes, 120 SPECint92, 105 SPECfp92, die size, software controllable power-down modes, power saving capability, 16 Kbyte, performance evaluation, performance, computer architecture, system design, power consumption, data cache, cache storage, microprocessor chips, frequency, system buses, portable computers, portable computers, transistors, 100 MHz
25Alex G. Dickinson, Bryan D. Ackland, El-Sayed Eid, David A. Inglis, Eric R. Fossum Standard CMOS active pixel image sensors for multimedia applications. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS active pixel image sensors, single chip video cameras, color filter array, document capture, 1024 pixel, multimedia, multimedia systems, CMOS integrated circuits, image sensors, transistors, video cameras, gain
25Víctor H. Champac, Joan Figueras Testability of floating gate defects in sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floating gate defect testability, logic detectability conditions, defective transistors, logically untestable branches, scan path cell, CMOS latch cell, scan path flip-flops, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, simulated results, flip-flops, CMOS logic circuits, integrated circuit modelling, I/sub DDQ/ testing
25S. C. Prasad, Kaushik Roy 0001 Circuit optimization for minimisation of power consumption under delay constraint. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, internal capacitances, series-connected transistors, multipass algorithm, transistor reordering, VLSI, delays, logic design, logic CAD, circuit layout CAD, CMOS logic circuits, minimisation, circuit optimisation, integrated circuit layout, VLSI circuits, logic gates, capacitance, circuit optimization, delay constraint, CMOS gates
25Olivier Betschi, Ken Choi Novel 4-Transistors Ternary Inverter Circuit Using Carbon-Nanotube Field -Effect Transistors. Search on Bibsonomy ISOCC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
25Varvara Bezhenova, Alicja Malgorzata Michalowska-Forsyth Aspect ratio of radiation-hardened MOS transistors - Modelling of the equivalent channel dimensions of integrated MOS transistors in radiation-hardened enclosed layout. Search on Bibsonomy Elektrotech. Informationstechnik The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
25Manoj Kumar 0005, Sandeep Kumar Arya, Sujata Pandey Single bit full adder design using 8 transistors with novel 3 transistors XNOR gate Search on Bibsonomy CoRR The full citation details ... 2012 DBLP  BibTeX  RDF
25Isabelle Ferain, Cynthia A. Colinge, Jean-Pierre Colinge Multigate transistors as the future of classical metal-oxide-semiconductor field-effect transistors. Search on Bibsonomy Nat. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
25Marc Michaillat Paramètres matériau pour la simulation de transistors bipolaires à hétérojonctions Si/SiGe et Si/SiGeC. (Material parameters for the simulation of SiGe- and SiGeC-based heterojonction bipolar transistors). Search on Bibsonomy 2010   RDF
25Maxime Feraille Etude du Transport dans les Transistors MOSFETs Contraints: Modélisation Multi-échelle. (Study of Transport Properties in Strained transistors MOSFETs: Multi-scale Approach). Search on Bibsonomy 2009   RDF
25Karim Huet Modelisation du transport sous contrainte mecanique dans les transistors sub-65 nm pour la Microelectronique CMOS. (Modelling of carrier transport under mechanical strain for sub-65 nm CMOS transistors). Search on Bibsonomy 2008   RDF
25Hao-Chung Kuo InP/InGaAs Heterojunction Bipolar Transistors and Field-Effect Transistors Grown by Gas -Source Molecular Beam Epitaxy Search on Bibsonomy 1999   RDF
19Joel S. Emer Accelerating architecture research. Search on Bibsonomy ISPASS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Charles Augustine, Arijit Raychowdhury, Yunfei Gao, Mark S. Lundstrom, Kaushik Roy 0001 PETE: A device/circuit analysis framework for evaluation and comparison of charge based emerging devices. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Jun Seomun, Jae-Hyun Kim, Youngsoo Shin Skewed Flip-Flop and Mixed-Vt Gates for Minimizing Leakage in Sequential Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Soman Purushothaman A simple 4 quadrant NMOS analog multiplier with input range equal to +/-VDD and very low THD. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Grant Martin Panel: Best ways to use billions of devices on a chip. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hamed F. Dadgour, Vivek De, Kaustav Banerjee Statistical modeling of metal-gate work-function variability in emerging device technologies and implications for circuit design. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Yongho Lee, Deog-Kyoon Jeong, Taewhan Kim Simultaneous control of power/ground current, wakeup time and transistor overhead in power gated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Christian Peters, Fabian Henrici, Maurits Ortmanns, Yiannos Manoli High-bandwidth floating gate CMOS rectifiers with reduced voltage drop. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Baoyong Chi, Chun Zhang, Zhihua Wang 0001 Bandwidth extension for ultra-wideband CMOS low-noise amplifiers. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 3329 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license