The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Fault with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1957-1967 (15) 1968-1970 (24) 1971 (25) 1972 (20) 1973 (19) 1974 (30) 1975 (45) 1976 (37) 1977 (36) 1978 (56) 1979 (27) 1980 (52) 1981 (46) 1982 (52) 1983 (69) 1984 (110) 1985 (104) 1986 (168) 1987 (170) 1988 (328) 1989 (334) 1990 (422) 1991 (430) 1992 (450) 1993 (555) 1994 (615) 1995 (696) 1996 (734) 1997 (720) 1998 (741) 1999 (911) 2000 (1031) 2001 (1007) 2002 (1115) 2003 (1336) 2004 (1496) 2005 (1747) 2006 (2017) 2007 (2111) 2008 (2018) 2009 (1766) 2010 (1426) 2011 (1436) 2012 (1471) 2013 (1626) 2014 (1595) 2015 (1839) 2016 (1761) 2017 (1936) 2018 (2301) 2019 (2809) 2020 (2718) 2021 (3159) 2022 (3126) 2023 (3684) 2024 (989)
Publication types (Num. hits)
article(23893) book(52) data(18) incollection(194) inproceedings(30594) phdthesis(709) proceedings(101)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 25639 occurrences of 5402 keywords

Results
Found 55561 publication records. Showing 55561 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Self-Checking and Fault Tolerance Quality Assessment Using Fault Sampling. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Amir Zjajo, José Pineda de Gyvez, Guido Gronthoud Structural Fault Modeling and Fault Detection Through Neyman-Pearson Decision Criteria for Analog Integrated Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF structural fault modeling, analog fault modeling, Neyman-Pearson decision, fault detection, analog test, supply current monitoring
39Timothy J. Shimeall, Nancy G. Leveson An Empirical Comparison of Software Fault Tolerance and Fault Elimination. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fault elimination, run-time assertions, multiversion voting, stepwise abstraction, static data-flow analysis, project resources, fault tolerant computing, software reliability, software reliability, program testing, software fault tolerance, functional testing, structural testing, code reading
38Lei Wu, Feng Sun, Jian-hua Cheng Fault diagnosis of FOG SINS based on neural network. Search on Bibsonomy IJCNN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Liangyu Ma, Jin Ma 0001, Yongguang Ma, Bingshu Wang To Diagnose a Slight and Incipient Fault in a Power Plant Thermal System Based on Symptom Zoom Technology and Fuzzy Pattern Recognition Method. Search on Bibsonomy ICMLC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Norman F. Schneidewind Fault Correction Profiles. Search on Bibsonomy ISSRE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Norman F. Schneidewind Applying Fault Correction Profiles. Search on Bibsonomy SEW The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Ali Mili 0001, Bojan Cukic, T. Xia, Rahma Ben Ayed Combining Fault Avoidance, Fault Removal and Fault Tolerance: An Integrated Model. Search on Bibsonomy ASE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault tolerance, formal specifications, verification and validation, fault removal, fault avoidance
37Sukumar Ghosh, Arobinda Gupta, Ted Herman, Sriram V. Pemmaraju Fault-containing self-stabilizing distributed protocols. Search on Bibsonomy Distributed Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Distributed algorithms, Transformer, Self-stabilization, Fault-containment
37Mark Lanus Fault Tolerant Schemes for Hot-Swappable and Non Hot-Swappable Mezzanine Cards. Search on Bibsonomy ISAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault Management Model, Advanced Mezzanine Card, AMC, AMC Carrier, PCI Mezzanine Card, PMC, PMC Carrier, Availability Model
37Ian J. Hayes Dynamically Detecting Faults via Integrity Constraints. Search on Bibsonomy Methods, Models and Tools for Fault Tolerance The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault detection, Integrity constraint, action system, real-time programming
37Andreas Haeberlen, Petr Kuznetsov The Fault Detection Problem. Search on Bibsonomy OPODIS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault detection problem, lower bounds, message complexity, Fault classes
37Ali Ebnenasir, Sandeep S. Kulkarni, Anish Arora FTSyn: a framework for automatic synthesis of fault-tolerance. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault-tolerance, Formal methods, Distributed programs, Program synthesis, Automatic addition of fault-tolerance
37Khaled Day, Abdel Elah Al-Ayyoub Minimal Fault Diameter for Highly Resilient Product Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerance, interconnection networks, node-disjoint paths, product networks, Fault diameter
37Bev Littlewood, Peter T. Popov, Lorenzo Strigini, Nick Shryane Modeling the Effects of Combining Diverse Software Fault Detection Techniques. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reliability growth, testing, software reliability, diversity, Software fault, fault removal
37Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical path delay fault coverage estimation for synchronous sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF path delay fault coverage estimation, multi-valued algebra, signal statistics, latch updating, fault diagnosis, logic testing, delays, probability, controllability, controllability, statistical analysis, sequential circuits, observability, observabilities, logic simulation, synchronous sequential circuits, statistical estimation
37Chen-Pin Kung, Chun-Jieh Huang, Chen-Shang Lin Fast fault simulation for BIST applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF signature computation, BIST applications, combinational fault simulation, BISTSIM, demand-driven logic simulation algorithm, fault propagation methods, bit-array computation, parallel-pattern sequential simulation, speedup ratio, VLSI, VLSI, logic testing, built-in self test, integrated circuit testing, combinational circuits, digital simulation, circuit analysis computing, aliasing, test patterns, MISR
37Irith Pomeranz, Sudhakar M. Reddy A Same/Different Fault Dictionary: An Extended Pass/Fail Fault Dictionary with Improved Diagnostic Resolution. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
37Hamid R. Zarandi, Seyed Ghassem Miremadi, Ali Reza Ejlali Dependability Analysis Using a Fault Injection Tool Based on Synthesizability of HDL Models. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37Junwei Hou, Abhijit Chatterjee Analog Transient Concurrent Fault Simulation with Dynamic Fault Grouping. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
37Chauchin Su, Shenshung Chiang, Shyh-Jye Jou Impulse response fault model and fault extraction for functional level analog circuit diagnosis. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Testing, Diagnosis, Analog Circuit
37Matthew Worsman, Mike W. T. Wong, Yim-Shu Lee Analog circuit equivalent faults in the D.C. domain. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF analog circuit faults, fault simulation data, equivalent faults, equivalent fault identification, built-in self test, design for testability, data analysis, fault simulation, fault location, fault location, analogue circuits, linear analog circuits
37Andrea Bondavalli, Silvano Chiaradonna, Domenico Cotroneo, Luigi Romano A Fault-Tolerant Distributed Legacy-Based System and Its Evaluation. Search on Bibsonomy LADC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fault Treatment, Threshold-based mechanisms, CORBA Architectures, Fault Diagnosis, Legacy systems, Software Implemented Fault Tolerance
37Hiroyuki Hashimoto, Hiroshi Masuyama, Toshihiko Sasama Fault tolerant subcube allocation in hypercube. Search on Bibsonomy ISPAN The full citation details ... 1996 DBLP  DOI  BibTeX  RDF subcube allocation, fault-free subcubes, fault tolerant, parallel architectures, fault tolerant computing, hypercube, hypercube networks, degradation, faulty hypercubes
37Dong Xiang, Jia-Guang Sun, Jie Wu 0001, Krishnaiyan Thulasiraman Fault-Tolerant Routing in Meshes/Tori Using Planarly Constructed Fault Blocks. Search on Bibsonomy ICPP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF extended local safety, unsafe systems, mesh/torus, fault-tolerant routing, Computational power
36Fannie Chen, Loring Craymer, Jeff Deifik, Alvin J. Fogel, Daniel S. Katz, Alfred G. Silliman Jr., Raphael R. Some, Sean A. Upchurch, Keith Whisnant Demonstration of the Remote Exploration and Experimentation (REE) Fault-Tolerant Parallel-Processing Supercomputer for Spacecraft Onboard Scientific Data Processing. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Application-Based Fault Tolerance, Fault-Tolerant, Parallel-Processing, MPI, Fault Injection, Software-Implemented Fault Tolerance
36Abderrahim Doumar, Hideo Ito Testing approach within FPGA-based fault tolerant systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA-based fault-tolerant systems, FPGA test strategy, configurable logic blocks, functional phase, on-chip configuration data shifting, shifting process control, test application, test observation, fault tolerance management logic, fault tolerance cost, chip functionality, delay overhead, Xilinx FPGA, fault tolerance, field programmable gate arrays, delays, integrated circuit testing, integrated logic circuits, testing time, user data, test phase
36Elizabeth M. Rudnick, Janak H. Patel Overcoming the Serial Logic Simulation Bottleneck in Parallel Fault Simulation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF serial logic simulation bottleneck, parallel fault simulation, sequential circuit fault simulation algorithms, fault-partitioning approach, test set partitioning, parallel architectures, logic CAD, fault coverage, speedup, benchmark circuits
36Vamsi Boppana, Ismed Hartanto, W. Kent Fuchs Full fault dictionary storage based on labeled tree encoding. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF digital storage, full fault dictionary storage, labeled tree encoding, fault dictionary compaction, binary string code, implicit storage, VLSI, fault diagnosis, logic testing, integrated circuit testing, encoding, automatic testing, circuit analysis computing, fault trees
36Eiji Harada, Janak H. Patel Overhead reduction techniques for hierarchical fault simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF overhead reduction techniques, hierarchical fault simulation, simulation overhead, concurrent method, multi-list-traversal method, one-pass fault simulation strategy, characteristic vectors, contiguous concurrent machines, ISCAS benchmark circuits, fault ordering, logic test sequences, fault diagnosis, logic testing, combinational circuits, logic CAD, digital simulation, circuit analysis computing, concurrent engineering, multivalued logic circuits, ULSI, ULSI
36Jwu E. Chen, Chung-Len Lee 0001, Wen-Zen Shen, Beyin Chen Fanout fault analysis for digital logic circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fanout fault analysis, digital logic circuits, combinational benchmark circuits, sequential benchmark circuits, target faults, fault diagnosis, logic testing, test generation, sequential circuits, combinational circuits, fault simulation, fault collapsing
36Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Influence of Resistive Bridging Fault on Crosstalk Coupling Effects in On-Chip Aggressor-Victim Interconnects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defective interconnects, defect’s severity, fault model, crosstalk, bridging fault
36Shu-Yi Yu, Edward J. McCluskey Permanent Fault Repair for FPGAs with Limited Redundant Area. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Permanent Fault Repair, Adaptive Computing System, Reconfigurable Computing System, Fault Tolerance, FPGA, Recovery
36Maitrayi Sabaratnam, Øystein Torbjørnsen, Svein-Olaf Hvasshovd Evaluating the Effectiveness of Fault Tolerance in Replicated Database Management Systems. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault tolerance in transaction processing, Highly available database management systems (DBMS), Replicated DBMS, Software reliability, Fault injection
36Arash Hariri, Arash Reyhani-Masoleh On Countermeasures Against Fault Attacks on Elliptic Curve Cryptography Using Fault Detection. Search on Bibsonomy Fault Analysis in Cryptography The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
36Ben Soh, Tharam S. Dillon On Modelling and Analysis of Latency Problem in Fault-Tolerant Systems. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Roland Lepold Performability Evaluation of a Fault-Tolerant Multiprocessor Architecture Using Stochastic Petri Nets. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Francesca Saglietti A Classification of Software Diversity Degrees Induced by an Analysis of Fault Types to be Tolerated. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Miroslaw Malek Responsive Systems: A Marriage Betwenn Real time and Fault Tolenrance. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Winfrid G. Schneeweiss, F. P. Holtmann Star Type Networks with Fault Tolerance. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Yennun Huang, Satish K. Tripathi Resource Allocation for Distributed Systems with Fault Tolerant Nodes. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Andreas Stopp Adaptive Fault Tolerance in Multi-Computer Systems Using Data Compation and Two-Level Voting. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Ramesh K. Sitaraman, Niraj K. Jha Optimal Design of Checks for Error Detection and Location in Fault Tolerant Multiprocessors Systems. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Herbert Barthel Fault-Tolerant Process Interface with Programmable Controllers. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Uwe Hildebrand A Fault Tolerant Interconnection Network for Memory-Coupled Multiprocessor Systems. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Markus Siegle, Douglas S. Reeves, Krzysztof Kozminski The Interlocking Bus Network for Fault-Tolerant Processor Arrays. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36M. Becker, Friedrich Lücking A Practical Approach for a Fault-Tolerant Massively Paralle Computer. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Irith Pomeranz, Sudhakar M. Reddy Testing of Fault-Tolerant Hardware. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Peter Poechmueller, Manfred Glesner A New Approach for Designing Fault-Tolerant Array Processors. Search on Bibsonomy Fault-Tolerant Computing Systems The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Tadayoshi Horita, Takurou Murata, Itsuo Takanami A Multiple-Weight-and-Neuron-Fault Tolerant Digital Multilayer Neural Network. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF weight fault, neuron fault, fault tolerance, FPGA, VHDL, multilayer neural network
36Yinan N. Shen, Xiao-Tao Chen, Susumu Horiguchi, Fabrizio Lombardi On the multiple fault diagnosis of multistage interconnection networks: the lower bound and the CMOS fault model. Search on Bibsonomy ICPP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS fault model, multiple fault diagnosis, interconnection networks, fault diagnosis, lower bound, multistage interconnection networks, multistage interconnection networks, CMOS technology, stuck-open faults
36Minesh B. Amin, Bapiraju Vinnakota ZAMBEZI: a parallel pattern parallel fault sequential circuit fault simulator. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ZAMBEZI, parallel pattern simulator, parallel fault simulation, sequential circuit fault simulator, multiple faults simulation, multiple vectors, parallel algorithms, VLSI, fault diagnosis, logic testing, sequential circuits, circuit analysis computing, integrated logic circuits
36Douglas M. Blough, Andrzej Pelc Almost Certain Fault Diagnosis Through Algorithm-Based Fault Tolerance. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF failureanalysis, almost certain fault diagnosis, multiprocessorsystems, incorrect computations, concurrent error detection mechanisms, erroneous dataelements, reliability, fault tolerant computing, multiprocessing systems, error detection, probabilistic analysis, concurrent error detection, algorithm-based fault tolerance
36Abhishek Singh 0001, Jim Plusquellic, Dhananjay S. Phatak, Chintan Patel Defect Simulation Methodology for iDDT Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF iDDT, transient current testing, device testing, ATPG, fault simulation, IDDQ, defect simulation, defect-based test
35Jianli Sun, Eduard Cerny, Jan Gecsei Fault Tolerance in a Class of Sorting Networks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF comparators (circuits), single-fault tolerance, 1-fault tolerant design, balanced sorting network, 2-fault tolerant design, fault tolerance, reliability, parallel processing, fault tolerant computing, fault tolerant computing, sorting, comparators, sorting networks, multistage network
35Samuel Norman Hamilton, Alex Orailoglu Transient and Intermittent Fault Recovery without Rollback. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF High-Level Synthesis of Fault Tolerant ICs, Transient and Intermittent Fault Resilience, Low Latency Fault Recovery, Safety Critical Systems, Fault Tolerant Microarchitectures
35Alfred V. Gomes, Ramakrishna Voorakaranam, Abhijit Chatterjee Modular Fault Simulation of Mixed Signal Circuits with Fault Ranking by Severity. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Analog test generation, Fault modeling, Fault Simulation
35Bing-Chuan Bai, Augusli Kifli, Chien-Mo James Li, Kun-Cheng Wu Fault modeling and testing of retention flip-flops in low power designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Hideyuki Jitsumoto, Toshio Endo, Satoshi Matsuoka ABARIS: An Adaptable Fault Detection/Recovery Component Framework for MPIs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Chang Dae Yoon, Seung-Yeon Lee, Myong-Chul Shin, Ho-Sung Jung, Jae Sang Cha A Fault Distance Estimation Method Based on an Adaptive Data Window for Power Network Security. Search on Bibsonomy ICCSA (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Junwei Hou, Abhijit Chatterjee Concurrent transient fault simulation for analog circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Alexandre Petrenko Fault Model-Driven Test Derivation from Finite State Models: Annotated Bibliography. Search on Bibsonomy MOVEP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Nicolas Guelfi, Henry Muccini, Patrizio Pelliccione, Alexander B. Romanovsky EFTS 2007: the 2nd international workshop on engineering fault tolerant systems. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF run-time management, fault-tolerance, modelling, software architecture, frameworks, design patterns, reconfiguration, component-based development, verification and validation, atomic actions, software life-cycle, adaptive approaches
35Yujia Wang, Ming-Jun Zhang, Juan Wu Research of the Fault Diagnosis Method for the Thruster of AUV Based on Information Fusion. Search on Bibsonomy ICIC (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Underwater robot, Thruster fault diagnosis, Neural network, Information fusion
35Bom Soo Kim, Young Dae Kim, Chan Kyou Hwang, Jae-Hyoung Yoo A Mechanism of KEDB-Centric Fault Management to Optimize the Realization of ITIL Based ITSM. Search on Bibsonomy APNOMS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF ITSM, KEDB, Change Management, Fault Management, ITIL, Incident Management, Service Support, Problem Management
35Amir Moradi 0001, Mohammad T. Manzuri Shalmani, Mahmoud Salmasizadeh A Generalized Method of Differential Fault Attack Against AES Cryptosystem. Search on Bibsonomy CHES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Cryptanalysis, Smart Card, AES, Side Channel Attacks, Fault Attacks
35Sandeep S. Kulkarni, Ali Ebnenasir The Complexity of Adding Failsafe Fault-Tolerance. Search on Bibsonomy ICDCS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault-tolerance, Formal methods, Program transformation, Distributed programs, Program synthesis
35Chun Gong, Rami G. Melhem, Rajiv Gupta 0001 Loop Transformations for Fault Detection in Regular Loops on Massively Parallel Systems. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Compiler-assisted approach, duplicating execution, execution pattern, fault detection, distributed-memory systems, loop transformation, data dependence analysis
34Gang-Min Park, Hoon Chang An extended march test algorithm for embedded memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF efficient test algorithm, BIST architecture, neighborhood pattern sensitive fault, background data, word-oriented memory testing, extended march test algorithm, stuck-at fault, transition fault, embedded memories, integrated memory circuits, coupling fault
34Hisashi Kondo, Kwang-Ting Cheng An Efficient Compact Test Generator for IDDQ Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Selective IDDQ, Pattern Compaction, Pseudo Stuck-at Fault, Essential Fault, Test, ATPG, Fault Model, Testability, IDDQ, Leakage Fault
34Ghassan Al Hayek, Chantal Robach On the Adequacy of Deriving Hardware Test Data from the Behavioral Specification. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware test data, behavioral fault modeling, gate-level strategies, high-level fault detection, gate-level fault detection, design automation tools, generated test set, gate-level fault coverage, hardware description languages, hardware description languages, behavioral specification
34C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
34Zhanshan (Sam) Ma, Axel W. Krings Dynamic hybrid fault models and the applications to wireless sensor networks (WSNs). Search on Bibsonomy MSWiM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF agreement algorithms, dynamic hybrid fault models, wireless sensor networks, reliability, survivability, evolutionary game theory, survival analysis, hybrid fault models
34Farshad Safaei, Ahmad Khonsari, Aresh Dadlani, Mohamed Ould-Khaoua A Probabilistic Characterization of Fault Rings in Adaptively-Routed Mesh Interconnection Networks. Search on Bibsonomy ISPAN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Fault Rings, Fault-tolerance, Interconnection Networks, Mesh, Adaptive Routings
34Farshad Safaei, Ahmad Khonsari, Mahmood Fathy, Mohamed Ould-Khaoua Performance analysis of fault-tolerant routing algorithm in wormhole-switched interconnections. Search on Bibsonomy J. Supercomput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Fault patterns, Software-Based routing, Fault-tolerance, Performance modeling, Adaptive routing, Parallel systems, Virtual channels, Torus, Queuing theory, Deterministic routing
34Jemal H. Abawajy Fault Detection Service Architecture for Grid Computing Systems. Search on Bibsonomy ICCSA (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF reconfigurable infrastructure, Fault-tolerance, grid computing, fault-detection, grid scheduler
34Jean Arlat, Yves Crouzet, Johan Karlsson, Peter Folkesson, Emmerich Fuchs, Günther H. Leber Comparison of Physical and Software-Implemented Fault Injection Techniques. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Fault injection techniques, experimental assessment, fault-tolerant computing, error detection coverage
34Ronald D. Blanton, John P. Hayes On the properties of the input pattern fault model. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault testing, testing digital circuits, ATPG, fault models, faults, defects
34Jong O. Kim, Parag K. Lala, Young Gun Kim, Heung-Soo Kim Fault Analysis of the Multiple Valued Logic Using Spectral Method. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF spectral domain, bridging fault, multiple valued logic, fault analysis
34Sasikumar Cherubal, Abhijit Chatterjee A Methodology for Efficient Simulation and Diagnosis of Mixed-Signal Systems Using Error Waveforms. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault simulation, Mixed-signal test, fault isolation
34Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults
34Sajan Abdul, Guangjun Liu Decentralised fault tolerance and fault detection of modular and reconfigurable robots with joint torque sensing. Search on Bibsonomy ICRA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Irith Pomeranz, Sudhakar M. Reddy A delay fault model for at-speed fault simulation and test generation. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Özalp Babaoglu The "Engineering" of Fault-Tolerant Distributed Computing Systems. Search on Bibsonomy Fault-Tolerant Distributed Computing The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
34Samuel Norman Hamilton, Alex Orailoglu Concurrent Error Recovery with Near-Zero Latency in Synthesized ASICs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF On-line Fault Isolation, Permanent Fault Resilience, High-level Synthesis of Fault Tolerant ICs, Low Latency Fault Recovery, Safety Critical Systems, Fault Tolerant Microarchitectures
34Chong Hee Kim, Jean-Jacques Quisquater Faults, Injection Methods, and Fault Attacks. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault injection, fault, fault attack, cryptographic algorithm
34M. A. El-Gamal Genetically Evolved Neural Networks for Fault Classification in Analog Circuits. Search on Bibsonomy Neural Comput. Appl. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Fault grouping, Genetically evolved neural networks, Genetic algorithms, Fault simulation, Analog circuits, Fault classification
34Zaid Al-Ars, Ad J. van de Goor, Jens Braun, Detlev Richter A Memory Specific Notation for Fault Modeling. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF memory specific fault analysis, memory testing, DRAM, functional fault models, fault primitives
34Alex Orailoglu Graceful Degradation in Synthesis of VLSI ICs. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF permanent fault resilience, high level synthesis of fault-tolerant ICs, Safety Critical Systems, graceful degradation, Fault-tolerant microarchitectures
34Louise E. Moser, P. M. Melliar-Smith, Priya Narasimhan A Fault Tolerance Framework for CORBA. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF strong replica consistency, fault tolerance, interoperability, CORBA, transparency, replicated objects
33Lilia Paradis, Qi Han 0001 A Survey of Fault Management in Wireless Sensor Networks. Search on Bibsonomy J. Netw. Syst. Manag. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, sensor networks, fault diagnosis, fault detection, fault management
33Frédéric Salles, Jean Arlat, Jean-Charles Fabre Can we rely on COTS microkernels for building fault-tolerant systems? Search on Bibsonomy FTDCS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF COTS microkernels, functional primitives, fault containment mechanisms, detection mechanisms, Chorus microkernel, embedded fault-tolerant systems, commercial executives, dependability, fault injection, application program interfaces, API, safety-critical systems, fault-tolerant systems, application software, Commercial-Off-The-Shelf components
33Luis J. de Miguel, Margarita Mediavilla, José Ramón Perán González Fault Diagnosis System Based on Sensitivity Analysis and Fuzzy Logic. Search on Bibsonomy ISMVL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault diagnosis system, model-based fault diagnosis, parity equation fault diagnosis method, residual generation method, fuzzy logic-based direct weighting, fuzzy logic, fault diagnosis, sensitivity analysis, sensitivity analysis, model-based reasoning, diagnostic reasoning, diagnostic expert systems
33Marc D. Riedel, Janusz Rajski Fault coverage analysis of RAM test algorithms. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF RAM test algorithms, flexible software analysis program, arbitrary test sequences, coverage statistics, functional cell-array faults, fault state transition conditions, representative fault classes, fault diagnosis, integrated circuit testing, fault coverage, random-access storage, integrated memory circuits, semiconductor memories, test algorithms
33Nian-Feng Tzeng, Po-Jen Chuang A Pairwise Substitutional Fault Tolerance Technique for the Cube-Connected Cycles Architecture. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cube-connected cycles architecture, CCC, fault-tolerant CCC structure, fault-tolerantstructure, fault tolerance, VLSI, fault tolerant computing, reconfiguration, multiprocessor interconnection networks, VLSI layout, reliability analysis, performance degradation, layout area
33Alfredo Benso, Maurizio Rebaudengo, Matteo Sonza Reorda, Pierluigi Civera An Integrated HW and SW Fault Injection Environment for Real-Time Systems. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Hybrid Fault Injection, Real-Time Systems, Dependability, Fault Injection
33Daniel Audet, Steve Masson, Yvon Savaria Reducing Fault Sensitivity of Microprocessor-Based Systems by Modifying Workload Structure. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF bit flip, fault-tolerance, memory, microprocessor, fault injection, software fault-tolerance, transient faults
33Mohammad H. Azadmanesh, Roger M. Kieckhafer Exploiting Omissive Faults in Synchronous Approximate Agreement. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Approximate agreement, convergent voting algorithms, hybrid faults, clock synchronization, fault-tolerant distributed systems
32Dae-Yi Jung, Rastko R. Selmic Power leader fault detection in nonlinear leader-follower networks. Search on Bibsonomy CDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Jaiganesh Balasubramanian, Aniruddha S. Gokhale, Douglas C. Schmidt, Nanbor Wang Towards Middleware for Fault-Tolerance in Distributed Real-Time and Embedded Systems. Search on Bibsonomy DAIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 55561 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license