The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for faults with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1959-1972 (16) 1973-1974 (15) 1975-1976 (17) 1977-1978 (20) 1979-1980 (31) 1981-1982 (24) 1983 (17) 1984 (16) 1985 (31) 1986 (43) 1987 (27) 1988 (63) 1989 (72) 1990 (99) 1991 (105) 1992 (122) 1993 (147) 1994 (156) 1995 (232) 1996 (251) 1997 (233) 1998 (231) 1999 (308) 2000 (368) 2001 (310) 2002 (434) 2003 (488) 2004 (575) 2005 (646) 2006 (726) 2007 (732) 2008 (660) 2009 (452) 2010 (232) 2011 (178) 2012 (181) 2013 (220) 2014 (226) 2015 (230) 2016 (263) 2017 (309) 2018 (293) 2019 (363) 2020 (363) 2021 (428) 2022 (401) 2023 (422) 2024 (117)
Publication types (Num. hits)
article(4437) book(1) data(5) incollection(32) inproceedings(7361) phdthesis(56) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 10002 occurrences of 3019 keywords

Results
Found 11893 publication records. Showing 11893 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
32Gang Chen 0011, Sudhakar M. Reddy, Irith Pomeranz Procedures for Identifying Untestable and Redundant Transition Faults in Synchronous Sequential Circuits. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Hiroshi Takahashi, Kwame Osei Boateng, Kewal K. Saluja, Yuzo Takamatsu On diagnosing multiple stuck-at faults using multiple and singlefault simulation in combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Ramesh C. Tekumalla, Scott Davidson 0001 On Identifying Indistinguishable Path Delay Faults and Improving Diagnosis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Mikhail Nesterenko, Anish Arora Tolerance to Unbounded Byzantine Faults. Search on Bibsonomy SRDS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32R. David, Janusz A. Brzozowski, Helmut Jürgensen Testing for Bounded Faults in RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bounded fault, testing, Markov chain, random test, RAM, test length, deterministic test
32Ad J. van de Goor, Georgi Gaydadjiev Realistic Linked Memory Cell Array Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
32Noriyuki Takahashi, Nagisa Ishiura, Shuzo Yajima Fault simulation for multiple faults by Boolean function manipulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
32Ilia Polian, Piet Engelke, Michel Renovell, Bernd Becker 0001 Modeling Feedback Bridging Faults with Non-Zero Resistance. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF feedback bridging faults, resistive bridging faults, bridging fault simulation
32Irith Pomeranz, Sudhakar M. Reddy Vector-Based Functional Fault Models for Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF functional tests, delay faults, path delay faults
32Yinong Chen, Winfried Bücken, Klaus Echtle Efficient Algorithms for System Diagnosis with Both Processor and Comparator Faults. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF processor faults, comparison-based self-diagnosis, multiprocessorsystems, comparator faults, O(mod E mod)/sup 2/ algorithm, computational complexity, fault tolerant computing, multiprocessing systems, system diagnosis
31Kinga Dobolyi, Westley Weimer Modeling consumer-perceived web application fault severities for testing. Search on Bibsonomy ISSTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF web application, fault, severity
31Alireza Rohani, Hamid R. Zarandi An Analysis of Fault Effects and Propagations in AVR Microcontroller ATmega103(L). Search on Bibsonomy ARES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Gursimran Singh Walia, Jeffrey C. Carver The Effect of the Number of Defects on Estimates Produced by Capture-Recapture Models. Search on Bibsonomy ISSRE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
31Raja K. K. R. Sandireddy, Vishwani D. Agrawal Diagnostic and Detection Fault Collapsing for Multiple Output Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Diamantino Costa, Tiago Rilho, Henrique Madeira Joint Evaluation of Performance and Robustness of a COTS DBMS through Fault-Injection. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Irith Pomeranz, W. Kent Fuchs A Diagnostic Test Generation Procedure for Combinational Circuits Based on Test Elimination. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Irith Pomeranz, Sudhakar M. Reddy A diagnostic test generation procedure for synchronous sequential circuits based on test elimination. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Synthesis of Sequential Circuits by Redundancy Removal and Retiming. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF synthesis of sequential circuits, sequentially redundant fault, retiming, redundant fault, redundancy removal
31Kanad Chakraborty, Pinaki Mazumder Technology and layout-related testing of static random-access memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Array layout, cell technology, Gallium Arsenide (GaAs), high electron mobility transistor (HEMT) RAMs, I DD testing, I DDQ testing
31Jan Ploski, Matthias Rohr, Peter Schwenkenberg, Wilhelm Hasselbring Research issues in software fault categorization. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2007 DBLP  DOI  BibTeX  RDF injection of software faults, software fault categorization, software reliability, bugs, software faults
31Andrzej Pelc, David Peleg Feasibility and complexity of broadcasting with random transmission failures. Search on Bibsonomy PODC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF omission faults, random failures, broadcasting, radio networks, Byzantine faults
31Wanlin Cao, Dhiraj K. Pradhan Sequential redundancy identification using recursive learning. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ISCAS benchmarks, c-cycle redundancies, c-cycle redundant faults, redundancy identification algorithm, sequential redundancy identification, state transition information, uncontrollability analysis, logic CAD, FIRES, untestable faults, recursive learning
31Wuudiann Ke, Premachandran R. Menon Multifault and delay-fault testability of multilevel circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testing, testability, delay-faults, multiple stuck-at faults
31Chunduri Rama Mohan, Partha Pratim Chakrabarti Combined optimization of area and testability during state assignment of PLA-based FSM's. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combined optimization, testability optimisation, PLA-based FSM, EARTH algorithm, single cross-point faults, redundancy checker, fault diagnosis, logic testing, redundancy, finite state machines, integrated circuit testing, design for testability, fault model, logic CAD, programmable logic arrays, circuit layout CAD, circuit optimisation, integrated circuit layout, state assignment, state assignment, minimisation of switching nets, single stuck-at faults, area minimization
31Weiwei Mao, Ravi K. Gulati Quietest: A methodology for selecting IDDQ test vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF weak faults, I DDQ testing, Leakage faults
30Jiangang Yi, Peng Zeng Analysis of Two Neural Networks in the Intelligent Faults Diagnosis of Metallurgic Fan Machinery. Search on Bibsonomy ISNN (3) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Metallurgic fan machinery, Intelligent faults diagnosis, ANN
30Irith Pomeranz, Sudhakar M. Reddy Synthesis for Broadside Testability of Transition Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF broadside tests, standard scan, transition faults, test synthesis, full-scan circuits
30Patrick Girard 0001, Olivier Héron, Serge Pravossoudovitch, Michel Renovell An Efficient BIST Architecture for Delay Faults in the Logic Cells of Symmetrical SRAM-Based FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF BIST, delay faults, look-up table
30Carlos Arthur Lang Lisbôa, Erik Schüler, Luigi Carro Going beyond TMR for protection against multiple faults. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF future technologies, simultaneous transient faults, fault tolerance, design techniques
30Irene Finocchi, Giuseppe F. Italiano Sorting and searching in the presence of memory faults (without redundancy). Search on Bibsonomy STOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory faults, searching, sorting, memory models, combinatorial algorithms
30Rajeshwar S. Sable, Ravindra P. Saraf, Rubin A. Parekhji, Arun N. Chandorkar Built-in Self-test Technique for Selective Detection of Neighbourhood Pattern Sensitive Faults in Memories. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Built-in self-test for memories, neighbourhood pattern sensitive faults, programmable BIST
30Wei Li 0023, Sudhakar M. Reddy, Irith Pomeranz On test generation for transition faults with minimized peak power dissipation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test generation, power dissipation, transition faults
30Yukiya Miura, Shuichi Seno Behavior Analysis of Internal Feedback Bridging Faults in CMOS Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault behavior, feedback bridging faults, IDDQ testing, CMOS circuits, fault analysis
30Thomas J. Ostrand, Elaine J. Weyuker The distirubtion of faults in a large industrial software system. Search on Bibsonomy ISSTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF software testing, empirical study, software faults, fault-prone, pareto
30Zaid Al-Ars, Ad J. van de Goor Transient Faults in DRAMs: Concepts, Analysis and Impact on Tests. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF detection conditions, memory testing, DRAMs, transient faults, functional fault models, defect simulation
30Emil Gizdarski Detection of Delay Faults in Memory Address Decoders. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-In Self-Test, delay testing, stuck-open faults, RAM testing
30Hakan Aydin, Rami G. Melhem, Daniel Mossé Optimal scheduling of imprecise computation tasks in the presence of multiple faults. Search on Bibsonomy RTCSA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF imprecise computation tasks, real-time computing models, nondecreasing reward function, FT Optimal framework, transient fault tolerance, scheduling, real-time systems, image processing, multimedia, software fault tolerance, multiple faults, speech processing, optimal scheduling
30Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Toshiyuki Matsunaga A Method of Generating Tests for Marginal Delays an Delay Faults in Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF marginal delay, test generation, combinational circuit, gate delay faults
30Alvernon Walker, Algernon P. Henry, Parag K. Lala An approach for detecting bridging faults in CMOS domino logic circuits using dynamic power supply current monitoring. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF bridging faults detection, CMOS domino logic circuits, dynamic power supply current monitoring, CMOS logic circuits, transient current
30Sreejit Chakravarty, Paul J. Thadikaran Algorithms to select IDDQ measurement points to detect bridging faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bridging faults, test selection, I DDQ test
30Heidrun Engel Data flow transformations to detect results which are corrupted by hardware faults. Search on Bibsonomy HASE The full citation details ... 1996 DBLP  DOI  BibTeX  RDF data flow transformations, corrupt result detection, hardware fault coverage, modified instruction, diverse data representation, modified instruction sequences, assembler level, high language level, fault tolerant computing, software faults, design diversity, hardware fault detection
30Mukund Sivaraman, Andrzej J. Strojwas A diagnosability metric for parametric path delay faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF diagnosability metric, parametric path delay faults, test vector pairs, chip failure, fabrication process parameter variations, diagnosis framework, ISCAS'89 benchmark circuits, VLSI, fault diagnosis, logic testing, delays, timing, integrated circuit testing, failure analysis, diagnosability, delay fault testing, test set
30Mukund Sivaraman, Andrzej J. Strojwas Diagnosis of parametric path delay faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parametric path delay faults, chip failure, fabrication process parameter values, path sensitization mechanism, path delay conditions, ISCAS'89 benchmark circuits, path segment, circuit failure, fault diagnosis, logic testing, logic testing, delays, probability, probability, statistical analysis, statistical analysis, integrated circuit testing, failure analysis, diagnosability, delay fault testing, IC testing, production testing
30Hsing-Chung Liang, Chung-Len Lee 0001, Jwu E. Chen Identifying Untestable Faults in Sequential Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF initializable, invalid states, controllability, test generation, untestable faults
30Hiroshi Takahashi, Takashi Watanabe, Yuzo Takamatsu Generation of tenacious tests for small gate delay faults in combinational circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tenacious tests, small gate delay faults, single gate delay fault, ISCAS'85 benchmark circuits, fault diagnosis, logic testing, delays, test generation, combinational circuits, combinational circuits, fault coverage
30Teruhiko Yamada, Koji Yamazaki, Edward J. McCluskey A simple technique for locating gate-level faults in combinational circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electron probe analysis, optical microscopy, gate-level faults, error sources, fault deduction, fault elimination, ISCAS'85 benchmark circuits, physical defect analysis, electron beam probing, light emission microscopy, computational complexity, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, combinational circuits, combinational circuits, digital simulation, circuit analysis computing, computation time, scanning electron microscopy, scanning electron microscopy, diagnostic resolution
30Ananta K. Majhi, James Jacob, Lalit M. Patnaik, Vishwani D. Agrawal An efficient automatic test generation system for path delay faults in combinational circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic test generation system, test pattern generation system, nonrobust tests, nine-value logic system, multiple backtrace procedure, path selection method, logic testing, delays, integrated circuit testing, fault detection, ATPG, combinational circuits, combinational circuits, automatic testing, fault location, multivalued logic, logic circuits, integrated logic circuits, path delay faults, robust tests
30Tapan J. Chakraborty, Vishwani D. Agrawal Robust testing for stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF logic circuit testing, d-robust tests, fault diagnosis, logic testing, delays, sequential circuits, sequential circuits, fault models, combinational circuits, combinational circuit, robust testing, single stuck-at faults, circuit models
30Irith Pomeranz, Sudhakar M. Reddy Fault Collapsing for Transition Faults Using Extended Transition Faults. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Abdul-Rahman Mawlood-Yunis Fault-tolerant semantic mappings among heterogeneous and distributed local ontologies. Search on Bibsonomy ONISW The full citation details ... 2008 DBLP  DOI  BibTeX  RDF consensus reaching, heterogeneous information sources, semantic conflicts, temporal faults, interoperability, ontology mapping, emergent semantics
29Vimal K. Reddy, Eric Rotenberg, Sailashri Parthasarathy Understanding prediction-based partial redundant threading for low-overhead, high- coverage fault tolerance. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF redundant multithreading, simultaneous multithreading (SMT), slipstream processor, chip multiprocessor (CMP), branch prediction, transient faults, value prediction, time redundancy
29M. Ümit Uyar, Yu Wang 0012, Samrat S. Batth, Adriana Wise, Mariusz A. Fecko Timing Fault Models for Systems with Multiple Timers. Search on Bibsonomy TestCom The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Timer Constraints, Fault Modeling, Timed Automata, Conformance Testing, Multiple Faults
29Irith Pomeranz, Sudhakar M. Reddy A Measure of Quality for n-Detection Test Sets. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF n-detection tests, test set ordering, unmodeled faults
29Ronald D. Blanton, John P. Hayes On the properties of the input pattern fault model. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault testing, testing digital circuits, ATPG, fault models, faults, defects
29Roger T. Alexander, James M. Bieman, Sudipto Ghosh, Bixia Ji Mutation of Java Objects. Search on Bibsonomy ISSRE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Java, software testing, object-oriented programming, testability, Faults, mutation analysis, test adequacy
29Lorenzo Alvisi, Evelyn Tumlin Pierce, Dahlia Malkhi, Michael K. Reiter, Rebecca N. Wright Dynamic Byzantine Quorum Systems. Search on Bibsonomy DSN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerance, dynamic reconfiguration, quorum systems, Byzantine faults
29Jun-Weir Lin, Chung-Len Lee 0001, Chauchin Su, Jwu E. Chen Fault diagnosis for linear analog circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF discrete signal flow graph, equivalent faults, fault diagnosis, fault diagnosis, signal flow graphs, analogue circuits, linear analog circuits
29Dimiter R. Avresky Embedding and Reconfiguration of Spanning Trees in Faulty Hypercubes. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF single and multiple faults, Fault tolerance, reconfiguration, spanning trees, faulty hypercubes
29Avinash Munshi, Fred J. Meyer, Fabrizio Lombardi A New Method for Testing EEPLA's. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF EEPLA, testing, multiple faults, PLD
29Chih Wei Hu, Chung-Len Lee 0001, Wen Ching Wu, Jwu E. Chen Fault diagnosis of odd-even sorting networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF odd-even sorting networks, location tests, sorting element faults, binary search procedures, fault diagnosis, fault diagnosis, Banyan networks, switching system, ISDN
29Sandeep S. Kulkarni, Anish Arora Once-and-for all management protocol (OFMP). Search on Bibsonomy ICNP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF once-and-forall management protocol, network management protocol, management information bases, fault-tolerance masking, eventually-detectable faults, protocols
29David S. Rosenblum A Practical Approach to Programming With Assertions. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Anna, APP, formal specifications, formal methods, C, programming environments, assertions, consistency checking, software faults, runtime checking
29Steven J. Zeil Perturbation Techniques for Detecting Domain Errors. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF sensitivity measures, individual test points, domain errors, perturbing functions, potential faults, standard optimization techniques, novel test-data-generation method, arithmetic fault detection, perturbation techniques, software testing, error detection, error detection, program testing, test set, vector space, arithmetic expressions
29Manoj Franklin, Kewal K. Saluja Hypergraph Coloring and Reconfigured RAM Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF hypergraph coloring, reconfigured RAM testing, RAM decoders, critical path lengths, memory chips, physical neighborhood pattern sensitive faults, reconfigured DRAMs, decoder faults, computational complexity, logic testing, redundancy, reconfigurable architectures, stuck-at faults, graph colouring, random-access storage, integrated memory circuits, test lengths, test algorithms, DRAM chips, silicon area
29Younès Karkouri, El Mostapha Aboulhamid, Eduard Cerny, Alain Verreault Use of Fault Dropping for Multiple Fault Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF fault dropping, multiple fault analysis, frontier faults, fault-free circuit, logic testing, stuck at faults, logic circuits, logic circuits, combinatorial circuits, benchmark circuits, gate level, fault collapsing, multiple stuck at faults
29Tein-Hsiang Lin, Kang G. Shin An Optimal Retry Policy Based on Fault Classification. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1994 DBLP  DOI  BibTeX  RDF optimal retry policy, fault characteristics, mission lifetime, system status, Bayesian decision problem, prior distributions, fault-related parameter updating, temporal fault type, fault parameter estimation, optimal retry period, mean task completion time minimization, fault tolerant computing, parameter estimation, fault detection, error detection, decision theory, system recovery, Bayes methods, minimisation, error recovery, transient faults, failure analysis, intermittent faults, permanent faults, fault classification
29Che-Liang Yang, Gerald M. Masson A New Measure for Hybrid Fault Diagnosability. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1987 DBLP  DOI  BibTeX  RDF test assignment, hard faults, hybrid faults, Fault diagnosis, PMC models, syndrome, soft faults
29Kozo Kinoshita, Kewal K. Saluja Built-In Testing of Memory Using an On-Chip Compact Testing Scheme. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF weight-sensitive faults, random- access memory (RAM), Built-in self-testing (BIST), stuck-at faults, built-in testing (BIT), pattern-sensitive faults, hardware complexity
29Barry R. Borgerson, Richard F. Freitas A Reliability Model for Gracefully Degrading and Standby-Sparing Systems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF gracefully degrading, resource exhaustion, solitary faults, space-domain multiple faults, standby sparing, time-domain multiple faults, Fault tolerance, reliability, PRIME
28Albert Meixner, Daniel J. Sorin Detouring: Translating software to circumvent hard faults in simple cores. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Man-Lap Li, Pradeep Ramachandran, Swarup Kumar Sahoo, Sarita V. Adve, Vikram S. Adve, Yuanyuan Zhou Trace-based microarchitecture-level diagnosis of permanent hardware faults. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Bogdan Tomoyuki Nassu, Kiyonobu Uehara, Takashi Nanya Injecting Inconsistent Values Caused by Interaction Faults for Experimental Dependability Evaluation. Search on Bibsonomy EDCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Antonio Carzaniga, Alessandra Gorla, Mauro Pezzè Handling Software Faults with Redundancy. Search on Bibsonomy WADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Michael Hutter, Jörn-Marc Schmidt, Thomas Plos RFID and Its Vulnerability to Faults. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Antenna Tearing, Optical Injections, RFID, Fault Analysis, Implementation Attacks, Electromagnetic Analysis
28Fred A. Bower, Daniel J. Sorin, Sule Ozev Online diagnosis of hard faults in microprocessors. Search on Bibsonomy ACM Trans. Archit. Code Optim. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Hard fault tolerance, fine-grained diagnosis, processor microarchitecture
28Irith Pomeranz, Sudhakar M. Reddy Diagnostic Test Generation Based on Subsets of Faults. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Yu-Chiun Lin, Shi-Yu Huang Accurate Whole-Chip Diagnostic Strategy for Scan Designs with Multiple Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multiple fault diagnosis, prime candidate, fault diagnosis, debugging, yield
28David de Andrés, Juan-Carlos Ruiz-Garcia, Daniel Gil, Pedro J. Gil Run-Time Reconfiguration for Emulating Transient Faults in VLSI Systems. Search on Bibsonomy DSN The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Loganathan Lingappan, Niraj K. Jha Improving the Performance of Automatic Sequential Test Generation by Targeting Hard-to-Test Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Luca Schiano, Marco Ottavi, Fabrizio Lombardi, Salvatore Pontarelli, Adelio Salsano On the Analysis of Reed Solomon Coding for Resilience to Transient/Permanent Faults in Highly Reliable Memories. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Fred A. Bower, Daniel J. Sorin, Sule Ozev A Mechanism for Online Diagnosis of Hard Faults in Microprocessors. Search on Bibsonomy MICRO The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Zhigang Jiang, Sandeep K. Gupta 0001 Threshold testing: Covering bridging and other realistic faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Daniel Gil, Joaquin Gracia, Juan Carlos Baraza, Pedro J. Gil Impact of Faults in Combinational Logic of Commercial Microcontrollers. Search on Bibsonomy EDCC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Jin-Fu Li 0001, Chou-Kun Lin Modeling and Testing Comparison Faults for Ternary Content Addressable Memories. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Dubravka Ilic, Elena Troubitsyna Formal Development of Software for Tolerating Transient Faults. Search on Bibsonomy PRDC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Yu-Chiun Lin, Shi-Yu Huang Chip-Level Diagnostic Strategy for Full-Scan Designs with Multiple Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Henrique Madeira, João Durães, Marco Vieira Emulation of Software Faults: Representativeness and Usefulness. Search on Bibsonomy LADC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Mohammad Gh. Mohammad, Kewal K. Saluja Electrical Model For Program Disturb Faults in Non-Volatile Memories. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Ilia Polian, Irith Pomeranz, Bernd Becker 0001 Exact Computation of Maximally Dominating Faults and Its Application to n-Detection Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF n-detection, BDDs, formal techniques, Fault dominance
28Guido Bertoni, Luca Breveglieri, Israel Koren, Paolo Maistri, Vincenzo Piuri On the Propagation of Faults and Their Detection in a Hardware Implementation of the Advanced Encryption Standard. Search on Bibsonomy ASAP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Cecilia Metra, Stefano Di Francescantonio, T. M. Mak Clock Faults? Impact on Manufacturing Testing and Their Possible Detection Through On-Line Testing. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Marco Vieira, Henrique Madeira Definition of Faultloads Based on Operator Faults for DMBS Recovery Benchmarking. Search on Bibsonomy PRDC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28André Ivanov, Sumbal Rafiq, Michel Renovell, Florence Azaïs, Yves Bertrand On the detectability of CMOS floating gate transistor faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Diamantino Costa, Tiago Rilho, Marco Vieira, Henrique Madeira ESFFI - A Novel Technique for the Emulation of Coftware Faults in COTS Components. Search on Bibsonomy ECBS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Said Hamdioui, Ad J. van de Goor, David Eastwick, Mike Rodgers Detecting Unique Faults in Multi-port SRAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Chuan-Ching Sue, Sy-Yen Kuo Restoration from Multiple Faults in WDM Networks without Wavelength Conversion. Search on Bibsonomy ICN (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Joan Carletta, Christos A. Papachristou, Mehrdad Nourani Detecting Undetectable Controller Faults Using Power Analysis. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Abderrahim Doumar, Hideo Ito Design of Switching Blocks Tolerating Defects/Faults in FPGA Interconnection Resources. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
28Jue Wu, Elizabeth M. Rudnick A Diagnostic Fault Simulator for Fast Diagnosis of Bridge Faults. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
28Lan Zhao, D. M. H. Walker, Fabrizio Lombardi IDDQ Testing of Bridging Faults in Logic Resources of Reconfigurable Field Programmable Gate Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 11893 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license