The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for yield with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1958-1969 (17) 1970-1976 (17) 1977-1981 (16) 1982-1984 (19) 1985-1986 (38) 1987 (22) 1988 (35) 1989 (53) 1990 (59) 1991 (39) 1992 (43) 1993 (72) 1994 (60) 1995 (88) 1996 (94) 1997 (118) 1998 (123) 1999 (173) 2000 (222) 2001 (236) 2002 (305) 2003 (348) 2004 (490) 2005 (595) 2006 (754) 2007 (815) 2008 (797) 2009 (499) 2010 (185) 2011 (123) 2012 (117) 2013 (95) 2014 (117) 2015 (128) 2016 (130) 2017 (132) 2018 (148) 2019 (171) 2020 (244) 2021 (235) 2022 (264) 2023 (286) 2024 (64)
Publication types (Num. hits)
article(3127) book(2) data(1) incollection(27) inproceedings(5401) phdthesis(28)
Venues (Conferences, Journals, ...)
Remote. Sens.(274) IEEE Trans. Comput. Aided Des....(196) Comput. Electron. Agric.(167) CoRR(146) DAC(141) ISQED(139) DFT(114) IGARSS(113) ITC(112) DATE(101) ICCAD(101) IEEE Trans. Very Large Scale I...(99) ASP-DAC(77) VTS(76) IEEE Trans. Image Process.(65) Sensors(63) More (+10 of total 1832)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6138 occurrences of 3479 keywords

Results
Found 8586 publication records. Showing 8586 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Neil Harrison A Simple via Duplication Tool for Yield Enhancement. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Youcef Bourai, C.-J. Richard Shi Layout Compaction for Yield Optimization via Critical Area Minimization. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Massimo Conti, Paolo Crippa, Simone Orcioni, Claudio Turchetti Parametric yield formulation of MOS IC's affected by mismatch effect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Markus Rudack, Dirk Niggemeyer Yield Enhancement Considerations for a Single-Chip Multiprocessor System with Embedded DRAM. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Nohpill Park, Fabrizio Lombardi Stratified Testing of Multichip Module Systems under Uneven Known-Good-Yield. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Kannan Krishna, Stephen W. Director The linearized performance penalty (LPP) method for optimization of parametric yield and its reliability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Jagannathan Narasimham, Kazuo Nakajima, Chong S. Rim, Anton T. Dahbura Yield enhancement of programmable ASIC arrays by reconfiguration of circuit placements. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Jacek Wojciechowski, Jirí Vlach Ellipsoidal method for design centering and yield estimation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
19Michael Demjanenko, Shambhu J. Upadhyaya Yield enhancement of field programmable logic arrays by inherent component redundancy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Chin-Long Wey On yield consideration for the design of redundant programmable logic arrays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Zhenyu Qi, Jiajing Wang, Adam C. Cabe, Stuart N. Wooters, Travis N. Blalock, Benton H. Calhoun, Mircea R. Stan SRAM-based NBTI/PBTI sensor system design. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF PBTI, sensor system design, sensor, redundancy, process variation, aging, yield, SRAM, NBTI
17Amin Ansari, Shantanu Gupta, Shuguang Feng, Scott A. Mahlke ZerehCache: armoring cache architectures in high defect density technologies. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault-tolerant cache, process variation, manufacturing yield
17Yohei Matsumoto, Masakazu Hioki, Takashi Kawanami, Hanpei Koike, Toshiyuki Tsutsumi, Tadashi Nakagawa, Toshihiro Sekigawa Suppression of Intrinsic Delay Variation in FPGAs using Multiple Configurations. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGA, configuration, within-die variation, timing yield
17Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
17Melvin A. Breuer, Haiyang (Henry) Zhu An Illustrated Methodology for Analysis of Error Tolerance. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF telephone answering machine, defective flash memory, yield, mean opinion score, error tolerance
17Ganesh Srinivasan, Friedrich Taenzler, Abhijit Chatterjee Loopback DFT for Low-Cost Test of Single-VCO-Based Wireless Transceivers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wafer probe test, test yield, loopback test, DFT, RF test, low-cost test
17Kwangok Jeong, Andrew B. Kahng, Kambiz Samadi Quantified Impacts of Guardband Reduction on Design Process Outcomes. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Guardband, chip size, yield, runtime, wirelength, design iterations
17Xiaochao Zhang, Xiaoan Hu, Wenhua Mao Development of Intelligent Equipments for Precision Agriculture. Search on Bibsonomy CCTA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF variable ferti-seeder, yield distribution, variable controlled, intelligent spraying, precision agriculture
17Rajiv V. Joshi, Rouwaida Kanj, Keunwoo Kim, Richard Q. Williams, Ching-Te Chuang A floating-body dynamic supply boosting technique for low-voltage sram in nanoscale PD/SOI CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF booster circuit, low power, yield, SRAM
17Philipp V. Panitz, Markus Olbrich, Erich Barke, Jürgen Koehl Robust wiring networks for DfY considering timing constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant wiring, timing constraint aware, open defects, design for yield
17Scott Davidson 0001 Searching for clues: Diagnosing IC failures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC failures, IC manufacturing, data mining, defects, yield enhancement, failure diagnosis
17Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Efficient techniques for transition testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test chain, test data volume reduction, transition faults, Test application time reduction, yield loss
17Scott Davidson 0001 Guest Editor's Introduction: ITC Examines How Test Helps the Fittest Survive. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF X-tolerant, IC outlier, ATPG, BIST, yield, IDDQ, International Test Conference, test metrics
17Xiaolang Yan, Ye Chen, Zheng Shi 0002, Yue Ma A new method for model based frugal OPC. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF mask cost, yield, OPC, dissection
17Lei He 0001, Andrew B. Kahng, King Ho Tam, Jinjun Xiong Simultaneous buffer insertion and wire sizing considering systematic CMP variation and random leff variation. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF yield, buffering, design for manufacturing, wire sizing, chemical mechanical polishing (CMP)
17Srivathsan Krishnamohan, Nihar R. Mahapatra An analysis of the robustness of CMOS delay elements. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF delay element, process variation, yield, Monte Carlo simulation
17Matthew R. Guthaus, Natesan Venkateswaran, Vladimir Zolotov, Dennis Sylvester, Richard B. Brown Optimization objectives and models of variation for statistical gate sizing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF parametric yield optimization, robust design
17Luigi Capodieci, Puneet Gupta 0001, Andrew B. Kahng, Dennis Sylvester, Jie Yang 0010 Toward a methodology for manufacturability-driven design rule exploration. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI manufacturability, process variation, yield, OPC, lithography, RET
17Minsu Choi, Noh-Jin Park, K. M. George, Byoungjae Jin, Nohpill Park, Yong-Bin Kim, Fabrizio Lombardi Fault Tolerant Memory Design for HW/SW Co-Reliability in Massively Parallel Computing Systems. Search on Bibsonomy NCA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Built-in-self-repair (BISR), Field Reconfiguration, HW/SW Co-reliability, Reliability Assurance, Reliability, High performance computing, Yield, Massively parallel computing, Fault-tolerant memory, Modular Redundancy
17Louis Scheffer Explicit computation of performance as a function of process variation. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF static timing, process variation, yield, statistical timing
17Hans T. Heineken, Wojciech Maly Performance - Manufacturability Tradeoffs in IC Design. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF wafer productivity, design rule shrink, performance, manufacturability, yield, critical area, clock frequency
17Mick Tegethoff, Tom Chen 0001 Simulation Techniques for the Manufacturing Test of MCMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF simulation, test, DFT, yield, DFM, SMT, MCM, board
17Yves Gagnon, Yvon Savaria, Michel Meunier, Claude Thibeault Are defect-tolerant circuits with redundancy really cost-effective? Complete and realistic cost model. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF defect-tolerant circuit, contamination, wafer test, silicon chip, reconfiguration, redundancy, integrated circuit testing, manufacturing, yield, cost model, integrated circuit, figure of merit, fault tolerant circuit
17Wojciech Maly, Hans T. Heineken, Jitendra Khare, Pranab K. Nag Design for manufacturability in submicron domain. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF IC technologies, die size minimization, integrated circuit technology, submicron domain, yield, cost model, design for manufacturability, trade-offs, design rules
17Kanad Chakraborty, Pinaki Mazumder An efficient, bus-layout based method for early diagnosis of bussed driver shorts in printed circuit boards. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF bus-layout, bussed driver shorts, early diagnosis, field survivability, interconnect shorts, production yield, printed circuit boards, printed circuit testing
16Saraju P. Mohanty Unified Challenges in Nano-CMOS High-Level Synthesis. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Kian Haghdad, Mohab Anis Design-Specific Optimization Considering Supply and Threshold Voltage Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Feng Wang 0004, Guangyu Sun 0003, Yuan Xie 0001 A Variation Aware High Level Synthesis Framework. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Sudip Roy 0001, Ajit Pal Why to Use Dual-Vt, If Single-Vt Serves the Purpose Better under Process Parameter Variations? Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Swaroop Ghosh, Kaushik Roy 0001 Exploring high-speed low-power hybrid arithmetic units at scaled supply and adaptive clock-stretching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Norma Rodriguez, Li Song, Shishir Shroff, Kuang Han Chen, Taber Smith, Wilbur Luo Hotspot Prevention Using CMP Model in Design Implementation Flow. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMP modeling, CMP aware design, hotspot
16Sung Ho Ha, Jong Sik Jin, Jeong Won Yang Predictive Performance of Clustered Feature-Weighting Case-Based Reasoning. Search on Bibsonomy ADMA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Lucas Brusamarello, Roberto da Silva, Gilson I. Wirth, Ricardo A. L. Reis Obtaining delay distribution of dynamic logic circuits by error propagation at the electrical level. Search on Bibsonomy VLSI-SoC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Luis Pierluissi, Claudio M. Rocco Sanseverino Optimal Design Centring Through a Hybrid Approach Based on Evolutionary Algorithms and Monte Carlo Simulation. Search on Bibsonomy ICANNGA (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Feng Wang 0004, Chrysostomos Nicopoulos, Xiaoxia Wu, Yuan Xie 0001, Narayanan Vijaykrishnan Variation-aware task allocation and scheduling for MPSoC. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Jongyoon Jung, Taewhan Kim Timing variation-aware high-level synthesis. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie 0001, Narayanan Vijaykrishnan FPGA routing architecture analysis under variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Shubhankar Basu, Priyanka Thakore, Ranga Vemuri Process Variation Tolerant Standard Cell Library Development Using Reduced Dimension Statistical Modeling and Optimization Techniques. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Shyue-Kung Lu, Chih-Hsien Hsu Fault tolerance techniques for high capacity RAM. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy 0001 Speed binning aware design methodology to improve profit under parameter variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Pongstorn Maidee, Kia Bazargan Defect-Tolerant FPGA Architecture Exploration. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Tanu Malik, Randal C. Burns, Amitabh Chaudhary Bypass Caching: Making Scientific Databases Good Network Citizens. Search on Bibsonomy ICDE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Yervant Zorian, Juan Antonio Carballo T1: Design for Manufacturability. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Thomas W. Williams Design for Testability: The Path to Deep Submicron. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Youngshin Han, Chilgee Lee Automatic Classification Using Decision Tree and Support Vector Machine. Search on Bibsonomy KES (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Xingguo Xiong, Yu-Liang Wu, Wen-Ben Jone Design and Analysis of Self-Repairable MEMS Accelerometer. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Vishak Venkatraman, Wayne P. Burleson Robust Multi-Level Current-Mode On-Chip Interconnect Signaling in the Presence of Process Variations. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Alessandra Nardi, Alberto L. Sangiovanni-Vincentelli Logic Synthesis for Manufacturability. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Rei-Fu Huang, Chin-Lung Su, Cheng-Wen Wu, Shen-Tien Lin, Kun-Lun Luo, Yeong-Jar Chang Fail Pattern Identification for Memory Built-In Self-Repair. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Premkishore Shivakumar, Stephen W. Keckler, Charles R. Moore, Doug Burger Exploiting Microarchitectural Redundancy For Defect Tolerance. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Meng Lu, Yvon Savaria, Bing Qiu 0003, Jacques Taillefer IEEE 1149.1 Based Defect and Fault Tolerant Scan Chain for Wafer Scale Integration. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Chih-Wea Wang, Kuo-Liang Cheng, Jih-Nung Lee, Yung-Fa Chou, Chih-Tsun Huang, Cheng-Wen Wu, Frank Huang, Hong-Tzer Yang Fault Pattern Oriented Defect Diagnosis for Memories. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF failure analysis (FA), fault pattern, memory diagnostics, memory testing, bitmap, semiconductor memory
16Emmanuel Rondey, Yann Tellier, Simone Borri A Silicon-Based Yiel Gain Evaluation Methodology for Embedded-SRAMs with Different Redundancy Scenarios. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
16Madhuban Kishor, José Pineda de Gyvez Threshold Voltage and Power-Supply Tolerance of CMOS Logic Design Families. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Peter Feldmann, Stephen W. Director Integrated circuit quality optimization using surface integrals. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
15Xiaojun Ma, Masoud Hashempour, Lei Wang 0003, Fabrizio Lombardi Manufacturing yield of QCA circuits by synthesized DNA self-assembled templates. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF design, manufacturing, nanotechnology, defect tolerance
15Caroline Concatto, Debora Matos, Luigi Carro, Fernanda Lima Kastensmidt, Altamiro Amadeu Susin, Érika F. Cota, Márcio Eduardo Kreutz Fault tolerant mechanism to improve yield in NoCs using a reconfigurable router. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable router, fault tolerance, reliability, network-on-chip, NoC
15Georg Ruß Data Mining of Agricultural Yield Data: A Comparison of Regression Models. Search on Bibsonomy ICDM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Data Mining, Modeling, Regression, Precision Agriculture
15Ali Jahanian 0001, Morteza Saheb Zamani Improved performance and yield with chip master planning design methodology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF chip planning, highway on chip, interconnect planning
15Bao Liu 0001 Adaptive voltage controlled nanoelectronic addressing for yield, accuracy and resolution. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Miguel Miranda, Bart Dierickx, Paul Zuber, Petr Dobrovolný, F. Kutscherauer, Philippe Roussel, Pavel Poliakov Variability aware modeling of SoCs: From device variations to manufactured system yield. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Ruiming Chen, Hai Zhou 0001 Fast Estimation of Timing Yield Bounds for Process Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Pei-Wen Luo, Jwu-E Chen, Chin-Long Wey, Liang-Chia Cheng, Ji-Jan Chen, Wen Ching Wu Impact of Capacitance Correlation on Yield Enhancement of Mixed-Signal/Analog Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Yan Li 0030, Helmut Schneider, Florian Schnabel 0002, Roland Thewes, Doris Schmitt-Landsiedel Latched CMOS DRAM Sense Amplifier Yield Analysis and Optimization. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Georg Ruß, Rudolf Kruse, Martin Schneider 0001, Peter Wagner 0001 Estimation of Neural Network Parameters for Wheat Yield Prediction. Search on Bibsonomy IFIP AI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Woonghee Tim Huh, Mahesh Nagarajan Linear inflation rules for the random yield production control problem with uncertain demand: Analysis and computations. Search on Bibsonomy WSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Georg Ruß, Rudolf Kruse, Martin Schneider 0001, Peter Wagner 0001 Data Mining with Neural Networks for Wheat Yield Prediction. Search on Bibsonomy ICDM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Data Mining, Neural Networks, Prediction, Precision Agriculture
15Anne Gattiker Using test data to improve IC quality and yield. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Farid N. Najm, Noel Menezes, Imad A. Ferzli A Yield Model for Integrated Circuits and its Application to Statistical Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Andreas Hofinger, Jan Valdman Numerical solution of the two-yield elastoplastic minimization problem. Search on Bibsonomy Computing The full citation details ... 2007 DBLP  DOI  BibTeX  RDF AMS Subject Classifications 74C05, 49M15, 49M27
15Héctor F. Satizábal, Daniel R. Jiménez R., Andrés Pérez-Uribe Consequences of Data Uncertainty and Data Precision in Artificial Neural Network Sugar Cane Yield Prediction. Search on Bibsonomy IWANN The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Shubhankar Basu, Ranga Vemuri Process Variation and NBTI Tolerant Standard Cells to Improve Parametric Yield and Lifetime of ICs. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Narender Hanchate, Nagarajan Ranganathan Statistical Gate Sizing for Yield Enhancement at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Huaxing Tang, Manish Sharma, Janusz Rajski, Martin Keim, Brady Benware Analyzing Volume Diagnosis Results with Statistical Learning for Yield Improvement. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Rene Segers If It's All about Yield, Why Talk about Testing? Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Yuuri Sugihara, Manabu Kotani, Kazuya Katsuki, Kazutoshi Kobayashi, Hidetoshi Onodera A 90nm 8×16 FPGA Enhancing Speed and Yield Utilizing Within-Die Variations. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF LUT-based FPGA device, simple model circuit, ring oscillators, within-die variations, placement optimization, 90 nm
15Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Michael Crocker, Michael T. Niemier, Xiaobo Sharon Hu Fault Models and Yield Analysis for QCA-based PLAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sanjiv Taneja Accelerating Yield Ramp through Real-Time Testing. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Arthur Nieuwoudt, Tamer Ragheb, Hamid Nejati, Yehia Massoud Increasing Manufacturing Yield for Wideband RF CMOS LNAs in the Presence of Process Variations. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Ayhan A. Mutlu, Kelvin J. Le, Mustafa Celik, Dar-sun Tsien, Garry Shyu, Long-Ching Yeh An Exploratory Study on Statistical Timing Analysis and Parametric Yield Optimization. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jochen A. G. Jess, Kerim Kalafala, Srinath R. Naidu, Ralph H. J. M. Otten, Chandramouli Visweswariah Statistical Timing for Parametric Yield Prediction of Digital Integrated Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Vineet Agarwal, Janet Meiling Wang Yield-area optimizations of digital circuits using non-dominated sorting genetic algorithm (YOGA). Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kazuya Katsuki, Manabu Kotani, Kazutoshi Kobayashi, Hidetoshi Onodera Measurement results of within-die variations on a 90nm LUT array for speed and yield enhancement of reconfigurable devices. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Lingxia Huang, Peihua Jin, Yong He 0001, Chengfu Lou, Min Huang, Mingang Chen Prediction of Silkworm Cocoon Yield in China Based on Grey-Markov Forecasting Model. Search on Bibsonomy MICAI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Murari Mani, Ashish Kumar Singh, Michael Orshansky Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Georgi I. Radulov, Patrick J. Quinn, Pieter C. W. van Beek, Johannes A. Hegt, Arthur H. M. van Roermund A binary-to-thermometer decoder with built-in redundancy for improved DAC yield. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Jin-Tai Yan, Bo-Yi Chiang, Chia-Fang Lee Timing-constrained yield-driven wire sizing for critical area minimization. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Multifunction Subthreshold CMOS Gates. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Xianlong Hong, Yici Cai, Hailong Yao, Duo Li DFM-aware Routing for Yield Enhancement. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 8586 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license