The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Coverage with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1973 (15) 1974-1979 (17) 1980-1982 (19) 1983-1984 (15) 1985-1986 (33) 1987-1988 (48) 1989 (28) 1990 (38) 1991 (46) 1992 (60) 1993 (61) 1994 (85) 1995 (117) 1996 (148) 1997 (132) 1998 (161) 1999 (219) 2000 (262) 2001 (274) 2002 (379) 2003 (430) 2004 (599) 2005 (716) 2006 (876) 2007 (1051) 2008 (1122) 2009 (867) 2010 (586) 2011 (469) 2012 (516) 2013 (552) 2014 (620) 2015 (609) 2016 (686) 2017 (826) 2018 (739) 2019 (857) 2020 (812) 2021 (876) 2022 (922) 2023 (974) 2024 (211)
Publication types (Num. hits)
article(7099) book(14) data(4) incollection(42) inproceedings(10839) phdthesis(75)
Venues (Conferences, Journals, ...)
CoRR(1052) IEEE Trans. Comput. Aided Des....(224) IEEE Access(222) Sensors(194) VTS(192) J. Electron. Test.(186) ITC(185) ICC(177) VTC Spring(168) ICRA(162) Asian Test Symposium(155) GLOBECOM(150) PIMRC(147) DATE(140) WCNC(134) IEEE Trans. Wirel. Commun.(132) More (+10 of total 3142)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 8773 occurrences of 3334 keywords

Results
Found 18073 publication records. Showing 18073 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Bogdan Carbunar, Ananth Grama, Jan Vitek Distributed and Dynamic Voronoi Overlays for Coverage Detection and Distributed Hash Tables in Ad-Hoc Networks. Search on Bibsonomy ICPADS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Qianbing Zheng, Yongwen Wang, Xicheng Lu WCBF: Efficient and High-Coverage Search Schema in Unstructured Peer-to-Peer Network. Search on Bibsonomy GCC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Rob Jukna To Test or To Inspect, What is the Coverage? Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Kenneth P. Parker Board Test Coverage Needs to be Standardized. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Jay J. Nejedlo Functional Test Coverage Effectiveness on the Decline. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Chandra Chekuri, Amit Kumar 0001 Maximum Coverage Problem with Group Budget Constraints and Applications. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Prasenjit Basu, Pallab Dasgupta, P. P. Chakrabarti 0001, Chunduri Rama Mohan Property Refinement Techniques for Enhancing Coverage of Formal Property Verification. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Avi Ziv Cross-Product Functional Coverage Measurement with Temporal Properties-Based Assertions . Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Seongmoon Wang, Srimat T. Chakradhar A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Shuangfeng Han, Youzheng Wang, Jing Wang 0001, Osamu Kato, Akihiko Nishio Capacity and Coverage of TD-SCDMA System with Smart Antenna. Search on Bibsonomy CDMA International Conference The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19G. Friedman, Alan Hartman, Kenneth Nagin, T. Shiran Projected state machine coverage for software testing. Search on Bibsonomy ISSTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF state machine projection., validation, automated test generation, finite state machine modeling
19Irith Pomeranz, Sudhakar M. Reddy On the Coverage of Delay Faults in Scan Designs with Multiple Scan Chains. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Sven Koenig, Yaxin Liu Terrain coverage with ant robots: a simulation study. Search on Bibsonomy Agents The full citation details ... 2001 DBLP  DOI  BibTeX  RDF multi-agent simulation, multi-agent coordination
19Byeong Min, Gwan Choi ECC: Extended Condition Coverage for Design Verification Using Excitation and Observation. Search on Bibsonomy PRDC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Ananta K. Majhi, V. D. Agrawak, James Jacob, Lalit M. Patnaik Line coverage of path delay faults. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Yervant Zorian Wider Coverage. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Alvin Jee, Jonathon E. Colburn, V. Swamy Irrinki, Mukesh Puri Optimizing Memory Tests by Analyzing Defect Coverage. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Thomas W. Williams, Stephen K. Sunter How Should Fault Coverage Be Defined? Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Hira Agrawal Efficient Coverage Testing Using Global Dominator Graphs. Search on Bibsonomy PASTE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Junichi Hirase, Shinichi Yoshimura, Tomohisa Sczaki Automatic Test Pattern Generation for Improving the Fault Coverage of Microprocessors. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Jules P. Bergmann, Mark Horowitz Improving coverage analysis and test generation for large designs. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
19Ankur Jain, Michael S. Hsiao, Vamsi Boppana, Masahiro Fujita On the Evaluation of Arbitrary Defect Coverage of Test Sets. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Fault Modeling & Simulation, Arbitrary Defects, Vector-Independent and Vector-Dependent Defects
19Lakshminarayana Pappu, Michael L. Bushnell, Vishwani D. Agrawal, Mandyam-Komar Srinivas Statistical Delay Fault Coverage Estimation for Synchronous Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF statistical fault analysis, fault simulation, delay test, path-delay faults, transition faults
19Huan-Chih Tsai, Sudipta Bhawmik, Kwang-Ting Cheng An almost full-scan BIST solution-higher fault coverage and shorter test application time. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Nur A. Touba Obtaining High Fault Coverage with Circular BIST Via State Skipping. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Circular BIST, Circular Self-Test Path, Conflict Matrix, Column Covering, Built-In Self-Test (BIST), Linear Feedback Shift Register, Pseudo-Random Testing, Digital Testing
19Wen-Ben Jone, Yun-Pan Ho, Sunil R. Das Delay Fault Coverage Enhancement Using Multiple Test Observation Times. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19David Powell, Michel Cukier, Jean Arlat On Stratified Sampling for High Coverage Estimations. Search on Bibsonomy EDCC The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19Martina Marré, Antonia Bertolino Unconstrained Duals and Their Use in Achieving All-Uses Coverage. Search on Bibsonomy ISSTA The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
19William K. C. Lam, Alexander Saldanha, Robert K. Brayton, Alberto L. Sangiovanni-Vincentelli Delay fault coverage, test set size, and performance trade-offs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
19Weiwei Mao, Michael D. Ciletti Reducing correlation to improve coverage of delay faults in scan-path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Hiralal Agrawal Dominators, Super Blocks, and Program Coverage. Search on Bibsonomy POPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Hideo Fujiwara Enhancing random-pattern coverage of programmable logic arrays via masking technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Luca Simoncini, Arthur D. Friedman Incomplete Fault Coverage In Modular Miltiprocessor Systems. Search on Bibsonomy ACM Annual Conference (1) The full citation details ... 1978 DBLP  DOI  BibTeX  RDF
17Ali Dasdan, Paolo D'Alberto, Santanu Kolay, Chris Drome Automatic retrieval of similar content using search engine query interface. Search on Bibsonomy CIKM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF strong query, coverage, random sampling, keyword extraction, query generation
17Cesare Bartolini, Antonia Bertolino, Sebastian G. Elbaum, Eda Marchetti Whitening SOA testing. Search on Bibsonomy ESEC/SIGSOFT FSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF coverage adequacy criteria, testing web services, service-oriented architecture, white-box testing
17Jian Chen 0008, Jie Jia, Yingyou Wen, Dazhe Zhao, Jiren Liu Modeling and extending lifetime of wireless sensor networks using genetic algorithm. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF solution granularity, genetic algorithm, wireless sensor network, coverage, network lifetime
17Michele Sama, Julian Harty Using code instrumentation to enhance testing on J2ME: a lesson learned with JInjector. Search on Bibsonomy HotMobile The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Java 2 micro edition, testing, instrumentation, code coverage
17Stephan Weißleder Influencing Factors in Model-Based Testing with UML State Machines: Report on an Industrial Cooperation. Search on Bibsonomy MoDELS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Industrial Cooperation, Model-Based Testing, State Machines, Mutation Analysis, Coverage Criteria
17Huanglin Zeng, Xiaohui Zeng Reasoning Decision Rules of an Uncertain System. Search on Bibsonomy RSKT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF An uncertain information system, Information coverage, Data mining, Rough sets, Statistical methods, Decision rules
17Kuo-Tay Chen, Jian-Shuen Lian, Yu-Ting Hsieh Quantifying News Reports to Proxy "Other Information" in ERC Models. Search on Bibsonomy PAISI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF earning response coefficient (ERC) model, textual information, news coverage, news sentiment
17You-Chiun Wang, Chun-Chi Hu, Yu-Chee Tseng Efficient Placement and Dispatch of Sensors in a Wireless Sensor Network. Search on Bibsonomy IEEE Trans. Mob. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF wireless sensor networks, connectivity, network management, coverage, topology control, deployment, mobile sensors
17Ming Liu 0002, Jiannong Cao 0001, Yuan Zheng 0001, Hai-gang Gong, Xiaomin Wang An energy-efficient protocol for data gathering and aggregation in wireless sensor networks. Search on Bibsonomy J. Supercomput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Data gathering and aggregation, Intra-cluster coverage, Wireless sensor networks
17Ke Ma 0004, Yanyong Zhang, Wade Trappe Managing the Mobility of a Mobile Sensor Network Using Network Dynamics. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF jamming attack, coverage, Mobile sensor networks, potential fields
17Tai-Hua Lu, Chung-Ho Chen, Kuen-Jong Lee A hybrid software-based self-testing methodology for embedded processor. Search on Bibsonomy SAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF embedded processor testing, fault coverage, functional testing, software-based self-test
17K. R. Jayaram, Aditya P. Mathur On the Adequacy of Statecharts as a Source of Tests for Cryptographic Protocols. Search on Bibsonomy COMPSAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF TLS protocol, MC/DC coverage, Security protocol, Statechart, Security Vulnerability
17Markus Strohmaier, Jennifer Horkoff, Eric S. K. Yu, Jorge Aranda, Steve M. Easterbrook Can Patterns Improve i* Modeling? Two Exploratory Studies. Search on Bibsonomy REFSQ The full citation details ... 2008 DBLP  DOI  BibTeX  RDF The i* Framework, Model Patterns, Modeling Effort, Model Coverage, Model Complexity
17Hui Zeng, Chenxi Zhu, Wei-Peng Chen System performance of self-organizing network algorithm in WiMAX femtocells. Search on Bibsonomy WICON The full citation details ... 2008 DBLP  DOI  BibTeX  RDF WiMAX, network capacity, frequency assignment, 802.16, femtocell, network coverage
17Wei-Chieh Ke, Bing-Hong Liu, Ming-Jer Tsai Constructing a Wireless Sensor Network to Fully Cover Critical Grids by Deploying Minimum Sensors on Grid Points Is NP-Complete. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF wireless sensor networks, NP-Complete, coverage problem
17Cunqing Hua, Tak-Shing Peter Yum Asynchronous random sleeping for sensor networks. Search on Bibsonomy ACM Trans. Sens. Networks The full citation details ... 2007 DBLP  DOI  BibTeX  RDF random sleeping, Sensor network, event detection, energy conservation, k-coverage
17Xiaoling Wu 0004, Jinsung Cho, Brian J. d'Auriol, Sungyoung Lee Sleep Nodes Scheduling in Cluster-Based Heterogeneous Sensor Networks Using AHP. Search on Bibsonomy ICESS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sensor networks, coverage, AHP, lifetime, sleep scheduling
17Xiaoling Wu 0004, Jinsung Cho, Brian J. d'Auriol, Sungyoung Lee Optimal Deployment of Mobile Sensor Networks and Its Maintenance Strategy. Search on Bibsonomy GPC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sensor networks, mobility, fuzzy logic, coverage, deployment
17Emrah Tomur, Y. Murat Erten Security and Service Quality Analysis for Cluster-Based Wireless Sensor Networks. Search on Bibsonomy WWIC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF security, QoS, Sensor networks, coverage, spatial resolution
17Yinying Yang, Mihaela Cardei Movement-assisted sensor redeployment scheme for network lifetime increase. Search on Bibsonomy MSWiM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF sensor mobility, wireless sensor networks, coverage, network lifetime, sensor deployment
17P. D. Mzila, Matthew O. Adigun, Sibusiso S. Xulu A Service Supplier Database for Location-Based Mobile Commerce. Search on Bibsonomy ICDCS Workshops The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coverage area, service advertisement, Location-Based Services, service discovery, network operators
17James H. Andrews, Felix Chun Hang Li, Tim Menzies Nighthawk: a two-level genetic-random unit test data generator. Search on Bibsonomy ASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF genetic algorithms, randomized testing, test coverage
17Kezhong Lu, XiaoHui Lin 0001, Fengxia Ding A Local Voronoi Diagram-Based Approximate Algorithm for Minimum Disc Cover Problem. Search on Bibsonomy PDCAT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF minimum disc cover problem, disc cover set, average coverage degree, wireless sensor network, node scheduling
17Hannes Frey, Daniel Görgen Geographical Cluster-Based Routing in Sensing-Covered Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF sensor networks, Ad hoc networks, topology control, geographic routing, sensing coverage
17Maciej Borkowski, James F. Peters Matching 2D Image Segments with Genetic Algorithms and Approximation Spaces. Search on Bibsonomy Trans. Rough Sets The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 2D matching, genetic algorithm, image segment, rough sets, coverage, image, Approximation space
17Christophe Gaston, Pascale Le Gall, Nicolas Rapin, Assia Touil Symbolic Execution Techniques for Test Purpose Definition. Search on Bibsonomy TestCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Input/Output Symbolic Transition Systems, Conformance testing, Symbolic Execution, Coverage Criteria, Test Purposes
17Yangfan Zhou, Haixuan Yang, Michael R. Lyu, Edith C. H. Ngai A point-distribution index and its application to sensor-grouping in wireless sensor networks. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF honeycomb structure, point-distribution index, sensor grouping, wireless sensor networks, sensor coverage
17Kenan Xu, Glen Takahara, Hossam S. Hassanein On the robustness of grid-based deployment in wireless sensor networks. Search on Bibsonomy IWCMC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF grid-based deployment, misalignment, wireless sensor network, robustness, coverage, random error
17Yunhuai Liu, Hoilun Ngan, Lionel M. Ni Power-aware Node Deployment in Wireless Sensor Networks. Search on Bibsonomy SUTC (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF node deployment, sensing and connectivity coverage, wireless sensor networks
17Nabhendra Bisnik, Alhussein A. Abouzeid, Volkan Isler Stochastic event capture using mobile sensors subject to a quality metric. Search on Bibsonomy MobiCom The full citation details ... 2006 DBLP  DOI  BibTeX  RDF event capture, mobile robot motion planning, reliable coverage, robot sensing systems
17Hongmei Chi, Edward L. Jones, Deidre W. Evans, Martin Brown Generating Test Data for Specification-Based Tests Via Quasirandom Sequences. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF specification-driven test, functional test coverage, quasirandom numbers, well-distributed sequences, automatic test case generation
17Kristen R. Walcott, Mary Lou Soffa, Gregory M. Kapfhammer, Robert S. Roos TimeAware test suite prioritization. Search on Bibsonomy ISSTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF genetic algorithms, test prioritization, coverage testing
17Habib M. Ammari, Sajal K. Das 0001 On computing conditional fault-tolerance measures for k-covered wireless sensor networks. Search on Bibsonomy MSWiM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF k-covered WSNs, conditional connectivity, conditional coverage, conditional fault-tolerance, forbidden faulty set
17Kang Chul Kim, Chang-Gyoon Lim, Jae Hung Yoo, Seok Bung Han Simulation Cost Reduction Strategies for Behavioral Model Verification in Bayesian Based Stopping Rule. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF behavioral VHDL model, semi-random variable, Verification, stopping rule, branch coverage
17Mazen Tlais, Frédéric Weis, Carole Bonan Distributed Mobility Prediction in a Hierarchical Infostation Systems. Search on Bibsonomy AINA (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF discontinuous coverage, caching, mobility prediction, Infostations
17Timothy Chklovski Designing interfaces for guided collection of knowledge about everyday objects from volunteers. Search on Bibsonomy IUI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF collecting broad-coverage knowledge repositories, generalization in knowledge acquisition, interfaces for knowledge elicitation
17Carlo Bellettini, Alessandro Marchetto 0001, Andrea Trentini TestUml: user-metrics driven web applications testing. Search on Bibsonomy SAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF application design model, stop testing, UML, testing, reverse engineering, metrics, testing coverage, white-box testing
17Edgar Leonardo Romero, Marius Strum, Jiang Chau Wang Comparing two testbench methods for hierarchical functional verification of a bluetooth baseband adaptor. Search on Bibsonomy CODES+ISSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF verification strategy, optimization, functional verification, coverage analysis, hierarchical verification
17Thomas R. Puzak, Allan Hartstein, Philip G. Emma, Viji Srinivasan When prefetching improves/degrades performance. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF prefetching algorithm, cache, prefetch, coverage, accuracy, timeliness
17Hannes Frey, Daniel Görgen Geographical Cluster Based Routing in Sensing-Covered Networks. Search on Bibsonomy ICDCS Workshops The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Sensor Networks, Ad-hoc Networks, Topology Control, Geographic Routing, Sensing Coverage
17Alexander Pretschner, Wolfgang Prenninger, Stefan Wagner 0001, Christian Kühnel, Martin Baumgartner, Bernd Sostawa, Rüdiger Zölch, Thomas Stauner One evaluation of model-based testing and its automation. Search on Bibsonomy ICSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF abstraction, coverage, test case generation, CASE, model-based development, automotive software
17Yung-Ruei Chang, Suprasad V. Amari, Sy-Yen Kuo Computing System Failure Frequencies and Reliability Importance Measures Using OBDD. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Failure frequency, reliability importance measure, imperfect coverage, fault tolerance, BDD, system availability
17Amir Rajabzadeh, Seyed Ghassem Miremadi, Mirzad Mohandespour Error Detection Enhancement in COTS Superscalar Processors with Performance Monitoring Features. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF COTS processors, fault injection, performance monitoring, analytical evaluation, watchdog processor, error detection coverage
17Ruth Yuee Zhang, Laks V. S. Lakshmanan, Ruben H. Zamar Extracting relational data from HTML repositories. Search on Bibsonomy SIGKDD Explor. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coverage estimation, information extraction, pattern, duplication
17Willem Visser, Corina S. Pasareanu, Sarfraz Khurshid Test input generation with java PathFinder. Search on Bibsonomy ISSTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF testing object-oriented programs, model checking, coverage, symbolic execution, red-black trees
17Amie L. Souter, Lori L. Pollock The Construction of Contextual Def-Use Associations for Object-Oriented Systems. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF D.3.2.p Object-oriented languages, D.2.5.m Testing coverage of code, object flow analysis
17Serdar Tasiran, Yuan Yu, Brannon Batson Using a formal specification and a model checker to monitor and direct simulation. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF model checking, specification, abstraction, coverage
17Hairong Sun, James J. Han, Isaac Levendel Impact of Fault Management Server and Its Failure-related Parameters on High-Availability Communication Systems. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coverage ratio, fail-safe ratio, availability, Fault management
17Hairong Sun, James J. Han, Isaac Levendel Availability Requirement for a Fault Management Server in High-Availability Communication Systems. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF coverage ratio, fail-safe ratio, availability, Fault management
17Junichi Hirase, Shinichi Yoshimura Faster processing for microprocessor functional ATPG. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF microprocessor functional ATPG, fault coverage improvement, short test pattern, processing speed increase, logic testing, integrated circuit testing, automatic test pattern generation, identification, test pattern generation, functional testing, microprocessor chips, instruction sets, instruction sets, microprocessor tests
17Jennifer Dworak, Michael R. Grimaila, Brad Cobb, Ting-Chi Wang, Li-C. Wang, M. Ray Mercer On the superiority of DO-RE-ME/MPG-D over stuck-at-based defective part level prediction. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DO-RE-ME technique, MPG-D model, defective part level prediction, benchmark circuit simulations, stuck-at fault detection tests, bridging surrogate detection, stuck-at fault coverage, predictor accuracy, industrial circuit, test pattern sequences, integrated circuit testing, automatic test pattern generation, ATPG, fault simulation, logic circuit, circuit simulation, integrated logic circuits, correlation coefficient
17Kwame Osei Boateng, Hiroshi Takahashi, Yuzo Takamatsu General BIST-Amenable Method of Test Generation for Iterative Logic Arrays. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fixed-coverage fixed-size test set, test generation, BIST, iterative logic arrays
17Biplab K. Sikdar, Kolin Paul, Gosta Pada Biswas, Parimal Pal Chaudhuri, Vamsi Boppana, Cliff Yang, Sobhan Mukherjee Theory and Application of GF(2p) Cellular Automata as On-chip Test Pattern Generator. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Extension field, BIST structure, Cellular Automata (CA), VLSI design and RTL, Finite field, DFT, Fault coverage, LFSR
17Marinés Puig-Medina, Gülbin Ezer, Pavlos Konas Verification of configurable processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable processor cores, system-on-chip, test generation, design verification, co-simulation, coverage analysis
17Dariusz Badura, Andrzej Hlawiczka Low Cost Bist for Edac Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CBIST, EDAC, error aliasing, fault coverage, self-test
17Alvin Jee, F. Joel Ferguson A methodolgy for characterizing cell testability. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cell testability, stuck-at fault coverage, IC quality, physical design for testability, metric, integrated circuit design, integrated circuit design, DPM, manufacturing defects
17Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch An optimized BIST test pattern generator for delay testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST test pattern generator, robust delay fault coverage, single input change test sequence, compatible inputs, optimization, delays, built-in self-test, fault detection, delay testing, test length, area overhead, circuit under test
17Silvia Chiusano, Fulvio Corno, Paolo Prinetto, Matteo Sonza Reorda Cellular automata for deterministic sequential test pattern generation. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF deterministic sequential test pattern generation, cellular automaton identification, hardware structure, area occupation, ASIC testing, evolutionary algorithm, cellular automata, BIST, fault coverage, stuck-at faults, FSM, deterministic automata
17Srimat T. Chakradhar, Vijay Gangaram, Steven G. Rothweiler Deriving Signal Constraints to Accelerate Sequential Test Generation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sequential test generation algorithm acceleration, signal constraints, large sequential circuits, deterministic sequential test generation, signal constraint computation technique, line probabilities, line justification techniques, benchmark sequential circuits, test generation time reduction, production sequential circuits, 3-valued signal probabilities, fault diagnosis, fault coverage, symbolic simulation, truth table
17Teruhiko Yamada, Tsuyoshi Sasaki On Current Testing of Josephson Logic Circuits Using the 4JL Gate Family. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF 4JL gate, Josephson logic circuit, current testing, defect coverage
17Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo An Efficient PRPG Strategy By Utilizing Essential Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF PRPG, essential fault, multiple polynomial, Gauss elimination, pseudorandom test pattern, multivariable linear equation, deterministic test set, random pattern resistant circuit, don't care value, intelligent heuristic, ISCAS-85 benchmark, ISCAS-89 benchmark, built-in self test, BIST, fault coverage, LFSR, test length, hardware overhead, multiple seed
17Nur A. Touba, Edward J. McCluskey Test point insertion based on path tracing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF probabilistic techniques, primary inputs, insertion methods, VLSI, VLSI, fault diagnosis, logic testing, logic testing, probability, built-in self test, timing, integrated circuit testing, BIST, automatic testing, fault coverage, test point insertion, path tracing, circuit-under-test
17Krishna B. Rajan, David E. Long, Miron Abramovici Increasing testability by clock transformation (getting rid of those darn states). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF clock transformation, sequential test generation, darn states, easy-to-reach states, logic testing, partitioning, design for testability, sequential circuits, DFT, fault coverage, testability, flip-flops, flip-flops, clocks, logic partitioning
17Ad J. van de Goor, Georgi Gaydadjiev, V. G. Mikitjuk, Vyacheslav N. Yarmolik March LR: a test for realistic linked faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF disturb faults, March LR, March LRD, March LRDD, fault diagnosis, integrated circuit testing, fault models, fault coverage, march tests, integrated memory circuits, semiconductor memories, linked faults
17Nur A. Touba, Edward J. McCluskey Applying two-pattern tests using scan-mapping. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scan-mapping, combinational mapping logic, logic testing, built-in self test, built-in self-testing, fault coverage, delay faults, pseudo-random testing, deterministic testing, two-pattern tests
17C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
17Arun Balakrishnan, Srimat T. Chakradhar Software transformations for sequential test generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential test generation, high fault coverage test sets, testability properties, inverse mapping, software engineering, logic testing, timing, design for testability, sequential circuits, sequential circuits, DFT, software model, software transformations
Displaying result #701 - #800 of 18073 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][16][17][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license