The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase circuit-level (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1976-1990 (17) 1991-1995 (19) 1996-1998 (18) 1999 (16) 2000 (15) 2001 (17) 2002 (24) 2003 (28) 2004 (34) 2005 (34) 2006 (47) 2007 (45) 2008 (40) 2009 (29) 2010-2011 (23) 2012-2013 (19) 2014-2015 (20) 2016-2017 (22) 2018-2019 (21) 2020-2022 (22) 2023-2024 (15)
Publication types (Num. hits)
article(156) inproceedings(365) phdthesis(4)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 307 occurrences of 239 keywords

Results
Found 525 publication records. Showing 525 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
40Saket Srivastava, Sanjukta Bhanja Hierarchical Probabilistic Macromodeling for QCA Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF QCA computing, QCA macromodel, Bayesian networks, Quantum-dot Cellular Automata, probabilistic computing
37Wolfgang Borutzky Combining Behavioral Block Diagram Modelling with Circuit Simulation. Search on Bibsonomy EUROCAST The full citation details ... 1989 DBLP  DOI  BibTeX  RDF mixed behavioral, circuit-level modelling, electrical macromodels, continuous system simulation, electronic control systems, circuit simulation, functional simulation, block diagrams, signal processing systems
28Raoul F. Badaoui, Ranga Vemuri Analog VLSI circuit-level synthesis using multi-placement structures. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Basab Datta, Wayne P. Burleson Circuit-level NBTI macro-models for collaborative reliability monitoring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF macro-models, on-chip sensors, calibration, NBTI
24Ying Wei 0002, Alex Doboli Library of structural analog cell macromodels for design of continuous-time reconfigurable Delta Sigma modulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24David T. Blaauw, Anirudh Devgan, Farid N. Najm Leakage power: trends, analysis and avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Alan Marchiori, Douglas Hakkarinen, Qi Han 0001, Lieko Earle Circuit-Level Load Monitoring for Household Energy Management. Search on Bibsonomy IEEE Pervasive Comput. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF circuit-level load monitoring, nonintrusive load monitoring, NILM, ubiquitous computing, pervasive computing, energy savings, energy management, energy measurement
23Mingjing Chen, Alex Orailoglu Circuit-level mismatch modelling and yield optimization for CMOS analog circuits. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22T. M. Mak, Subhasish Mitra Should Logic SER be Solved at the Circuit Level? Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
21Rajesh Garg, Nikhil Jayakumar, Sunil P. Khatri, Gwan Choi A design approach for radiation-hard digital electronics. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF radiation-hard, SEU
20Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja Yield-Driven, False-Path-Aware Clock Skew Scheduling. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF performance-related circuit yield loss, circuit-level parameters, DFM, clock skew scheduling
19Koustav Bhattacharya, Nagarajan Ranganathan RADJAM: A Novel Approach for Reduction of Soft Errors in Logic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Zbigniew Kalbarczyk, Ravishankar K. Iyer, Gregory L. Ries, Jaqdish U. Patel, Myeong S. Lee, Yuxiao Xiao Hierarchical Simulation Approach to Accurate Fault Modeling for System Dependability Evaluation. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Hierarchical simulation, accurate fault modeling, dependability evaluation, fault dictionaries
19Shambhu J. Upadhyaya, Nandakumar P. Venugopal, Nihal Shastry, Srinivasan Gopalakrishnan, Bharath V. Kuppuswamy, Rana Bhowmick, Prerna Mayor Design Considerations for High Performance RF Cores Based on Process Variation Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Cascode LNA, Corner analysis, Differential CMOS LNA, Phase frequency detector (PFD), Reuse topology, Process variation, Jitter, Phase noise, Noise figure, S-parameters, Monte Carlo analysis
19Luís Bica Oliveira, Jorge R. Fernandes, Michiel H. L. Kouwenhoven, Chris van den Bos, Chris J. M. Verhoeven A quadrature relaxation oscillator-mixer in CMOS. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Vittorio Rizzoli, Franco Mastri, Alessandra Costanzo, Diego Masotti Harmonic-Balance Algorithms for the Circuit-Level Nonlinear Analysis of UWB Receivers in the Presence of Interfering Signals. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
19Drew C. Ness, David J. Lilja Guiding Circuit Level Fault-Tolerance Design with Statistical Methods. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yen-Jen Chang, Chia-Lin Yang, Feipei Lai A power-aware SWDR cell for reducing cache write power. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF circuit-level, write power, low power, cache, SRAM
18Yea-Ling Horng, Jing-Reng Huang, Tsin-Yuan Chang A realistic fault model for flash memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NAND circuits, faulty behavior classification, NAND-type flash memory, SPICE models, flash cell models, circuit-level faulty behavior simulation, testing, fault model, fault modeling, fault simulation, flash memories, flash memories, circuit analysis computing, SPICE, integrated memory circuits
18Weiguang Sheng, Liyi Xiao, Zhigang Mao Versatile and Efficient Techniques for Speeding-Up Circuit Level Simulated Fault-Injection Campaigns. Search on Bibsonomy PRDC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Santanu Dutta, Wayne H. Wolf, Andrew Wolfe VLSI issues in memory-system design for video signal processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI issues, video signal processors, memory-system architectures, circuit-level issues, register-cache based hierarchy, general-purpose programmable microprocessors, VLSI, system architecture, utilization, memory architecture, memory architecture, video signal processing, area, cycle time, memory-system design
17Shingo Watanabe, Masanori Hashimoto, Toshinori Sato A case for exploiting complex arithmetic circuits towards performance yield enhancement. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Modeling and analysis of loading effect on leakage of nanoscaled bulk-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Saibal Mukhopadhyay, Swarup Bhunia, Kaushik Roy 0001 Modeling and Analysis of Loading Effect in Leakage of Nano-Scaled Bulk-CMOS Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mohammad Moradinezhad Maryan, Majid Amini Valashani, Seyed Javad Azhari A New Circuit-Level Technique for Leakage and Short-Circuit Power Reduction of Static Logic Gates in 22-nm CMOS Technology. Search on Bibsonomy Circuits Syst. Signal Process. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
17Feifei He, Cher Ming Tan Circuit level interconnect reliability study using 3D circuit model. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Ronn B. Brashear, Noel Menezes, Chanhee Oh, Lawrence T. Pillage, M. Ray Mercer Predicting Circuit Performance Using Circuit-level Statistical Timing Analysis. Search on Bibsonomy EDAC-ETC-EUROASIC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
17Natarajan Mahadeva Iyer, M. K. Radhakrishnan ESD Reliability Challenges for RF/Mixed Signal Design & Processing. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Chao Yan 0001, Mark R. Greenstreet Circuit Level Verification of a High-Speed Toggle. Search on Bibsonomy FMCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Terry Lee, Weitong Chuang, Ibrahim N. Hajj, W. Kent Fuchs Circuit-level dictionaries of CMOS bridging faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Wendy Belluomini, Chris J. Myers, H. Peter Hofstee Timed circuit verification using TEL structures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Rajat Subhra Chakraborty, Swarup Bhunia A study of asynchronous design methodology for robust CMOS-nano hybrid system design. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS-nano co-design, dual-rail circuits, logic degradation, nano-scale crossbar, Asynchronous design, robust design, micropipelines
15Peter Odryna, Kevin Nazareth, Carl Christensen A workstation-mixed model circuit simulator. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
14Rikard Gannedahl, Javad Bagheri Asli, Henrik Sjöland, Atila Alvandpour A Modular System-level Testbench for 6G Beamforming Applications with Near Circuit-Level Fidelity. Search on Bibsonomy NEWCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
14Victor M. van Santen, Hussam Amrouch, Jörg Henkel Modeling and Mitigating Time-Dependent Variability From the Physical Level to the Circuit Level. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
14Khalid Shahbar, Nur Zincir-Heywood Benchmarking two techniques for Tor classification: Flow level and circuit level classification. Search on Bibsonomy CICS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
14Zhe Zhang 0002, Ole C. Thomsen, Michael A. E. Andersen Discontinuous PWM Modulation Strategy With Circuit-Level Decoupling Concept of Three-Level Neutral-Point-Clamped (NPC) Inverter. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
14Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Yiran Chen 0001, Hai Li 0001, Xiaobin Wang, Wenzhong Zhu, Wei Xu 0021, Tong Zhang 0002 Combined magnetic- and circuit-level enhancements for the nondestructive self-reference scheme of STT-RAM. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF STT-RAM, emerging memory, spintronic
14Tom J. Kazmierski, Dafeng Zhou, Bashir M. Al-Hashimi Efficient circuit-level modelling of ballistic CNT using piecewise non-linear approximation of mobile charge density. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Mauro Olivieri, Mirko Scarana, Simone Smorfa Circuit-level power efficiency investigation of advanced DSP architectures based on a specialized power modeling technique. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Zhuo Li 0001, Xiang Lu, Wangqi Qiu, Weiping Shi, D. M. H. Walker A Circuit Level Fault Model for Resistive Opens and Bridges. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Carlos H. Díaz, Sung-Mo Kang, Charvaka Duvvury Circuit-level electrothermal simulation of electrical overstress failures in advanced MOS I/O protection devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
14Hua Tang Post-optimization of Delta-Sigma modulators considering circuit non-idealities. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Rufus H. Cofer, T. J. Sanders STADIUM: a new tool for high assurance in systems design. Search on Bibsonomy ICECCS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF STADIUM high assurance tool, large system development, systems engineering phase, operational variabilities, system performance levels, search effects, worst-case system design, statistically based system level simulation, statistically based subsystem level simulation, dual-use technologies, defense conversions, complex commercial systems, design of experiments methodology, circuit level variabilities, subsystem level variabilities, system level variabilities, simulation, software tools, software tool, systems design, systems analysis, systems engineering, system performances, design of experiments, manufacturing variabilities, complex system development
14Zhimin Chen 0002, Syed Haider, Patrick Schaumont Side-Channel Leakage in Masked Circuits Caused by Higher-Order Circuit Effects. Search on Bibsonomy ISA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Masaitsu Nakajima, Takao Yamamoto, Masayuki Yamasaki, Tetsu Hosoki, Masaya Sumita Low Power Techniques for Mobile Application SoCs Based on Integrated Platform "UniPhier". Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Masoud Hashempour, Zahra Mashreghian Arani, Fabrizio Lombardi Error Tolerance of DNA Self-Healing Assemblies by Puncturing. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
13Mark R. Greenstreet Verifying VLSI Circuits. Search on Bibsonomy ATVA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
13Xin Fu, Tao Li, José A. B. Fortes Combined circuit and microarchitecture techniques for effective soft error robustness in SMT processors. Search on Bibsonomy DSN The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Rajesh Garg, Sunil P. Khatri A novel, highly SEU tolerant digital circuit design approach. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
13Xiangdong Xuan, Adit D. Singh, Abhijit Chatterjee Lifetime Prediction and Design-for-Reliability of IC Interconnections with Electromigration Induced Degradation in the Presence of Manufacturing Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC reliability, reliability simulation, design for reliability, interconnect, electromigration, defect modeling
13Taikyeong T. Jeong, Jaemyoung Lee Design and Verification for Hierarchical Power Efficiency System (HPES) Design Techniques Using Low Power CMOS Digital Logic. Search on Bibsonomy International Conference on Computational Science (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
13Seokwoo Lee, Shidhartha Das, Valeria Bertacco, Todd M. Austin, David T. Blaauw, Trevor N. Mudge Circuit-aware architectural simulation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF circuit simulation, architectural simulation, high-performance simulation, computer system simulation
13Sung-Mo Kang On-chip thermal engineering for peta-scale integration. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
13Marcelo Lubaszewski, Salvador Mir, Vladimir Kolarik, C. Nielsen, Bernard Courtois Design of self-checking fully differential circuits and boards. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
13Robert P. Kurshan, Kenneth L. McMillan Analysis of digital circuits through symbolic reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
13William S. Beckett MOS circuit models in Network C. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF C
12Jie-Hong Roland Jiang, Alan Mishchenko, Robert K. Brayton On breakable cyclic definitions. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
12Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar Reducing leakage in a high-performance deep-submicron instruction cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Suganth Paul, Rajesh Garg, Sunil P. Khatri, Sheila Vaidya Design and implementation of a sub-threshold BFSK transmitter. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Zhimin Chen 0002, Patrick Schaumont Slicing Up a Perfect Hardware Masking Scheme. Search on Bibsonomy HOST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
12Kazuki Nakada, Jun Igarashi, A. Tetsuya, Hatsuo Hayashi Noise Effects on Performance of Signal Detection in an Analog VLSI Resonate-And Fire Neuron. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12R. Jancke, P. Schwarz Supporting analog synthesis by abstracting circuit behavior using a modeling methodology. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Haleh Vahedi, Radu Muresan, Stefano Gregori On-chip current flattening circuit with dynamic voltage scaling. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
12Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001 Accurate estimation of total leakage in nanometer-scale bulk CMOS circuits based on device geometry and doping profile. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Shaolei Quan, Qiang Qiang, Chin-Long Wey Design of a CMOS Operational Amplifier for Extreme-Voltage Stress Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
12Sanjukta Bhanja, N. Ranganathan Switching activity estimation of VLSI circuits using Bayesian networks. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Santanu Mahapatra, Kaustav Banerjee, Florent Pegeon, Adrian M. Ionescu A CAD Framework for Co-Design and Analysis of CMOS-SET Hybrid Integrated Circuits. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
12Saibal Mukhopadhyay, Arijit Raychowdhury, Kaushik Roy 0001 Accurate estimation of total leakage current in scaled CMOS logic circuits based on compact current modeling. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF doping profiles, leakage, tunneling, threshold voltage
12Ayman A. Fayed, Magdy A. Bayoumi A low power 10-transistor full adder cell for embedded architectures. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
12Ramakrishna Voorakaranam, Abhijit Chatterjee Hierarchical Test Generation for Analog Circuits Using Incremental Test Development. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
12Christer Svensson, Atila Alvandpour Low power and low voltage CMOS digital circuit techniques. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF low power, CMOS, digital circuits, low voltage
12Narain D. Arora, Kartik V. Raol, Reinhard Schumann, Llanda M. Richardson Modeling and extraction of interconnect capacitances for multilayer VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Taniya Siddiqua, Sudhanva Gurumurthi A multi-level approach to reduce the impact of NBTI on processor functional units. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reliability, NBTI
11Ajay Joshi, Jeffrey A. Davis Wave-pipelined 2-slot time division multiplexed (WP/2-TDM) routing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect sharing, time division, wave-pipelining
11Atul Maheshwari, Wayne P. Burleson, Russell Tessier Trading off Reliability and Power-Consumption in Ultra-low Power Systems. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
11Young Hwan Kim, Seung Ho Hwang, A. Richard Newton Electrical-logic simulation and its applications. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
10Anqi Gong, Sebastian Cammerer, Joseph M. Renes Toward Low-latency Iterative Decoding of QLDPC Codes Under Circuit-Level Noise. Search on Bibsonomy CoRR The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
10Milad Mehri A circuit level analysis of power distribution network on a PCB layout exposed to intentional/unintentional electromagnetic threats. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10V. Bharath Sreenivasulu, Aruna Kumari Neelam, Sekhar Reddy Kola, Jawar Singh, Yiming Li 0005 Exploring the Performance of 3-D Nanosheet FET in Inversion and Junctionless Modes: Device and Circuit-Level Analysis and Comparison. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10JongHyun Ko, Jongbeom Kim, TaeGam Jeong, Jaehoon Jeong, Taigon Song Exploration of Ternary Logic Using T-CMOS for Circuit-Level Design. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Nikita Mirchandani, Yuqing Zhang 0004, Safaa A. Abdelfattah, Marvin Onabajo, Aatmesh Shrivastava Modeling and Simulation of Circuit-Level Nonidealities for an Analog Computing Design Approach With Application to EEG Feature Extraction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Diptashree Das, Ziyue Xu 0003, Mehdi Nasrollahpour, Isabel Martos-Repath, Mohsen Zaeimbashi, Adam Khalifa, Ankit Mittal, Sydney S. Cash, Nian Xiang Sun, Aatmesh Shrivastava, Marvin Onabajo Circuit-Level Modeling and Simulation of Wireless Sensing and Energy Harvesting With Hybrid Magnetoelectric Antennas for Implantable Neural Devices. Search on Bibsonomy IEEE Open J. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Sunitha Bhukya, Bheema Rao Nistala Design optimization of junctionless bottom spacer tapered FinFET: Device to circuit level implementation. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Pamela A. Riggs, Barry K. Gilbert, Erik S. Daniel Efficient Circuit-Level Implementation of Knuth-Based Balanced and Nearly-Balanced Codes. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Md Hasibul Amin, Mohammed E. Elbtity, Ramtin Zand IMAC-Sim: A Circuit-level Simulator For In-Memory Analog Computing Architectures. Search on Bibsonomy CoRR The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Mashrafi Alam Kajol, Mohammad Mezanur Rahman Monjur, Qiaoyan Yu A Circuit-Level Solution for Secure Temperature Sensor. Search on Bibsonomy Sensors The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Badreddine Zerroumda, Hichem Ferhati, Fayçal Djeffal, Adel Bendjerad A New Gate-Trench Junctionless SiC Power MOSFET: Performance Assessement and Circuit Level Investigation. Search on Bibsonomy CCE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Florian Klemme, Sami Salamin, Hussam Amrouch Upheaving Self-Heating Effects from Transistor to Circuit Level using Conventional EDA Tool Flows. Search on Bibsonomy DATE The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Md Hasibul Amin, Mohammed E. Elbtity, Ramtin Zand IMAC-Sim: : A Circuit-level Simulator For In-Memory Analog Computing Architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jianwen Lin, Linlin Cai, Yutao Chen, Haoyu Zhang, Wangyong Chen Machine Learning-Assisted Single-Event Transient Model of 12nm FinFETs for Circuit-Level Simulation. Search on Bibsonomy ASICON The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
10Jeonggyu Yang, Hyundong Lee, Jaehoon Jeong, Taehak Kim, Sin-Hyung Lee, Taigon Song Circuit-Level Exploration of Ternary Logic Using Memristors and MOSFETs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10Zilong Shen, Yize Wang, Yunhao Li, Xing Zhang 0002, Yuan Wang 0001 A Scalable Model for Snapback Characteristics of Circuit-Level ESD Simulation. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10I. Munavar Sheriff, R. Sakthivel 0002 Mathematical and Circuit Level Analysis Interpretation and Recommendations on Neuron Models. Search on Bibsonomy J. Circuits Syst. Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10N. Aruna Kumari, Pothupogu Prithvi Device and circuit-level performance comparison of GAA nanosheet FET with varied geometrical parameters. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10Fayçal Djeffal, Hichem Ferhati New Ge-gate IR Phototransistor based on Doping Engineering Aspect: Photodetection Properties and Circuit Level Investigation. Search on Bibsonomy CCE The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10Jose Cayo, Ioannis Vourkas, Antonio Rubio 0001 A Circuit-Level SPICE Modeling Strategy for the Simulation of Behavioral Variability in ReRAM. Search on Bibsonomy VLSI-SoC The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
10Sebastian Mihai Ardelean, Mihai Udrescu Circuit level implementation of the Reduced Quantum Genetic Algorithm using Qiskit. Search on Bibsonomy SACI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 525 (100 per page; Change: )
Pages: [1][2][3][4][5][6][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license