The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase field-programmable (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (48) 1993 (25) 1994 (85) 1995 (144) 1996 (114) 1997 (147) 1998 (245) 1999 (245) 2000 (258) 2001 (215) 2002 (352) 2003 (425) 2004 (502) 2005 (500) 2006 (574) 2007 (528) 2008 (530) 2009 (382) 2010 (371) 2011 (305) 2012 (339) 2013 (401) 2014 (381) 2015 (329) 2016 (316) 2017 (314) 2018 (308) 2019 (363) 2020 (295) 2021 (273) 2022 (258) 2023 (251) 2024 (58)
Publication types (Num. hits)
article(895) book(3) incollection(9) inproceedings(8833) phdthesis(30) proceedings(111)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3154 occurrences of 1228 keywords

Results
Found 9881 publication records. Showing 9881 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
70Wei-Kang Huang, Fabrizio Lombardi An approach for testing programmable/configurable field programmable gate arrays. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF behavioral characterization, single fault detection, disjoint one-dimensional arrays, unilateral horizontal connections, common vertical input lines, array testing, logic blocks, field programmable gate arrays, field programmable gate arrays, VLSI, logic testing, integrated circuit testing, stuck-at fault, FPGA testing, functional fault, hybrid fault model
65Bradly K. Fawcett, J. Watson Reconfigurable Processing With Field Programmable Gate Arrays. Search on Bibsonomy ASAP The full citation details ... 1996 DBLP  DOI  BibTeX  RDF reconfigurable processing, internal architecture, computationally-intensive tasks, programmable solution, XC6200 FPGA architecture, SRAM control store, on-chip memory capability, field programmable gate arrays, interconnections, reconfigurable architectures, processors, coprocessors, coprocessors, SRAM chips, SRAM-based field programmable gate arrays
63Vi Chi Chan, David Lewis Hierarchical partitioning for field-programmable systems. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA partitioning problems, circuit structures, field-programmable systems, partitioning tree, recursive bipartitioning algorithm, field programmable gate arrays, VLSI, quality, logic CAD, hierarchical partitioning
58Tomoo Inoue, Hideo Fujiwara, Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto Universal test complexity of field-programmable gate arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF universal test complexity, arbitrary logic circuits, sequential loading, random access loading, programming schemes, block-sliced loading, configuration memory cells, field programmable gate arrays, field-programmable gate array, computational complexity, fault diagnosis, logic testing, design for testability, fault model, logic CAD, table lookup, look-up tables, automatic test software, C-testable
58Zhuo Gao, Ji Luo 0003, Hu Huang 0001, Wei Zhang, Joseph B. Bernstein Reliable Laser Programmable Gate Array Technology. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Laser Programmable Gate Array (LPGA), Laser Field-Programmable Gate Array (LFPGA), MakeLink technology, laser-induced/laser programmable anti-fuse, digital ASIC design, low electrical resistance anti-fuse, Field-Programmable Gate Array (FPGA)
56Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Ajay Kumar Verma, Seyed-Hosein Attarzadeh-Niaki, Chrysostomos Nicopoulos, Frank K. Gürkaynak, Philip Brisk, Yusuf Leblebici, Paolo Ienne Field Programmable Compressor Trees: Acceleration of Multi-Input Addition on FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Field Programmable Compressor Tree (FPCT), compressor tree, Field Programmable Gate Array (FPGA)
56Jiri Stehlik, Daniel Becvar Field Programmable Mixed-Signal Arrays (FPMA) Using Versatile Current/Voltage Conveyor Structures. (PDF / PS) Search on Bibsonomy PWC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Field Programmable Mixed-Signals Array, Programmable Universal Current Conveyor, Field Programmable Analog Array
56Hardy J. Pottinger, Chien-Yuh Lin Using a reconfigurable field programmable gate array to demonstrate boundary scan with built in self test. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF student experiments, educational aids, reconfigurable FPGA, XC4000 Logic Cell Array Family, IEEE Standard 1149.1, XC4003PC84-6, field programmable gate arrays, field programmable gate array, logic testing, built-in self test, built-in self-test, computer science education, integrated circuit testing, design for testability, logic design, BIST, teaching, fault simulation, integrated circuit design, boundary scan, demonstration, boundary scan testing, Xilinx, electronic engineering education
54Yao-Wen Chang, D. F. Wong 0001, C. K. Wong Design and analysis of FPGA/FPIC switch modules. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF FPGA/FPIC switch modules, routing resources, FPIC, network-flow techniques, field programmable interconnect chip, field programmable gate arrays, FPGA, field programmable gate arrays, logic design, heuristic algorithm, programmable logic arrays, routability
54Uwe Meyer-Bäse, Antonio García 0001, Fred J. Taylor Implementation of a Communications Channelizer using FPGAs and RNS Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable logic (FPL), complex programmable logic devices (CPLD), zero-IF filter, field programmable gate array (FPGA), digital signal processing (DSP), residue number system (RNS), channelizer
52Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Ajay Kumar Verma, Philip Brisk, Frank K. Gürkaynak, Yusuf Leblebici, Paolo Ienne Architectural improvements for field programmable counter arrays: enabling efficient synthesis of fast compressor trees on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF field programmable counter array (FPCA), FPGA
52Frank-Michael Renner, Jürgen Becker 0001, Manfred Glesner Field Programmable Communication Emulation and Optimization for Embedded System Design. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF architecture-precise rapid prototyping, field programmable emulation, Hardware/software codesign, real-time embedded systems
47Charles E. Stroud, Srinivasa Konala, Ping Chen, Miron Abramovici Built-in self-test of logic blocks in FPGAs (Finally, a free lunch: BIST without overhead!). Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF BIST architecture, programmable logic blocks, field programmable gate arrays, VLSI, logic testing, built-in self test, built-in self-test, integrated circuit testing, automatic testing, FPGA testing, field programmable gate array testing
47Wei-Kang Huang, Xiao-Tao Chen, Fabrizio Lombardi On the diagnosis of programmable interconnect systems: Theory and application. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF field programmable interconnect system, switch grid, FPIS, Xilinx 3000, field programmable gate arrays, FPGA, fault diagnosis, logic testing, diagnosis, integrated circuit interconnections
47John Y. Oliver, Venkatesh Akella Improving DSP Performance with a Small Amount of Field Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Paul Chow, P. Glenn Gulak A Field-Programmable Mixed-Analog-Digital Array. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
47Gerardo Orlando, Christof Paar A Super-Serial Galois Fields Multiplier for FPGAs and its Application to Public-Key Algorithms. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Galois Fields multiplier, field programmable gate array application, cryptography, elliptic curve cryptography
46Yen-Tai Lai, Ping-Tsung Wang Hierarchical interconnection structures for field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
43Seyed-Hosein Attarzadeh-Niaki, Alessandro Cevrero, Philip Brisk, Chrysostomos Nicopoulos, Frank K. Gürkaynak, Yusuf Leblebici, Paolo Ienne Design space exploration for field programmable compressor trees. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design space exploration (dse), field programmable compressor tree (fpct)
43Steven J. E. Wilton, Chun Hok Ho, Philip Heng Wai Leong, Wayne Luk, Bradley R. Quinton A synthesizable datapath-oriented embedded FPGA fabric. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF embedded block, field programmable gate array, system-on-chip, synthesis, integrated circuit, datapath
43I. Faik Baskaya, Sasank Reddy, Sung Kyu Lim, Tyson S. Hall, David V. Anderson Mapping algorithm for large-scale field programmable analog array. Search on Bibsonomy ISPD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF floating gates, mapping, field programmable analog array
43Dinesh Bhatia, James Haralambides Resource requirements for field programmable interconnection chips. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field programmable interconnection chips, n-permutation graph, network property, routing delays, programmable switches, routing paths, specific I/O permutations, user-configured interconnection, VLSI, VLSI, graph theory, network routing, permutation networks, integrated circuit interconnections, Benes network
43Koray Öner, Luiz André Barroso, Sasan Iman, Jaeheon Jeong, Krishnan Ramamurthy, Michel Dubois 0001 The Design of RPM: An FPGA-based Multiprocessor Emulator. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, VHDL, rapid prototyping, shared-memory multiprocessors, logic emulation, message-passing multicomputers
43Neil W. Bergmann, Yuk Ying Chung Video Compression on FPGA-Based Custom Computers. Search on Bibsonomy ICIP (1) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FPGA-based custom computers, 2D DCT algorithms, Scalable Parallel Architecture for Concurrency Experiments, field programmable gate arrays, field programmable gate array, video compression, experimental result, SPACE, workstation, distributed arithmetic, super-computer, processing speed
43Yoshinori Yamaguchi, Kenji Toda, Kenji Nishida, Eiichi Takahashi CODA-R: a reconfigurable testbed for real-time parallel computation. Search on Bibsonomy RTCSA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CODA-R, reconfigurable testbed, real-time parallel computation, reconfigurable field programmable gate arrays, total execution time, prototype reconfigurable real-time parallel system, real-time parallel architecture, field programmable gate arrays, real-time system, processing elements, computing engine
43Shriram Kulkarni, Pinaki Mazumder, George I. Haddad A high-speed 32-bit parallel correlator for spread spectrum communication. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF pseudonoise codes, radio equipment, high speed pipelined digital parallel correlator, lattice field programmable gate array, 87 MHz, 11.5 ns, field programmable gate arrays, parallel processing, data stream, correlators, CDMA, pipeline processing, CMOS integrated circuit, CMOS digital integrated circuits, transceiver, spread spectrum communication, spread spectrum communication, digital radio, 32 bit, PN sequence
43H. A. Xie, Kevin E. Forward, K. M. Adams, Suthikshn Kumar An SBus Multi-Tracer and its applications. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF SBus Multi Tracer, SBus monitoring board, logic analyzer, bus analyzer, trace length, board memory, multi occurrences, trigger patterns, multiple partitions, tracing memory, systematic timing information, pattern occurrences, triggering patterns, SUN SPARC station, field programmable gate arrays, Field Programmable Gate Array, FPGA, logic testing, automatic test equipment, system buses, timing diagrams, computerised monitoring
43John Schewel, Michael Thornburg, Steve Casselman Transformable computers & hardware object technology. Search on Bibsonomy IPPS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transformable computers, hardware object technology, reconfigurable aspects, computationally intensive software algorithms, on-the-fly use, field programmable gate arrays, field programmable gate arrays, programming, reconfigurable architectures, programmable logic arrays, hardware design, performance gain
43Akihiro Tsutsui, Toshiaki Miyazaki, Kazuhisa Yamada, Naohisa Ohta Special purpose FPGA for high-speed digital telecommunication systems. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF special purpose FPGA, high-speed digital telecommunication systems, high-speed transport data processing, inter-chip connection mechanism, dedicated CAD system, field programmable gate arrays, field programmable gate array, programmable logic arrays, digital communication
43Rajeev Murgai, Masahiro Fujita, Fumiyasu Hirose Logic synthesis for a single large look-up table. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single large look-up table, LUT-based field-programmable gate array architectures, simulation time minimisation, compile-code, software simulation, field programmable gate arrays, Boolean function, logic design, logic synthesis, hardware accelerator, programmable logic arrays, table lookup, logic simulation, FPGA architectures, logic functions, on-chip memory, memory constraint
43Joseph L. Ganley, James P. Cohoon Thumbnail rectilinear Steiner trees. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF full-set decomposition algorithm, minimum-length set, thumbnail rectilinear Steiner tree problem, VLSI placement algorithms, geometric partitioning, field programmable gate arrays, field-programmable gate arrays, VLSI, dynamic programming, network topology, logic CAD, trees (mathematics), network routing, circuit layout CAD, global routing, line segments
43Jae-Tack Yoo, Erik Brunvand, Kent F. Smith Automatic rapid prototyping of semi-custom VLSI circuits using Actel FPGAs. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF automatic rapid prototyping, semicustom VLSI circuits, Actel FPGAs, cell-matrix based environment, synchronous pipelined version, asynchronous pipelined version, field programmable gate arrays, field programmable gate arrays, VLSI, logic CAD, integrated circuit design, CMOS logic circuits, circuit CAD, array multiplier, CMOS IC
43Jean-Francois Guillaud, Max Roger Pokam, Gérard Michel An ATM-Based Multimedia Integrated Manufacturing System . Search on Bibsonomy HPDC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATM-based multimedia integrated manufacturing system, high-speed communication technologies, intelligent network interface board, field programmable gate array component, high speed communication links, field programmable gate arrays, protocols, protocols, asynchronous transfer mode, multimedia systems, multimedia applications, computer integrated manufacturing, real time distributed systems
43Dinesh Bhatia, James Haralambides Resource requirements and layouts for field programmable interconnection chips. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
41David W. Trainor, Roger F. Woods Architectural Synthesis and Efficient Circuit Implementation for Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
41Abdellah Touhafi, Wouter Brissinck, Erik F. Dirkx The Implementation of a Field Programmable Logic Based Co-Processor for the Acceleration of Discrete Event Simulators. Search on Bibsonomy FPL The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
41C. P. Cowen, S. Monaghan Performance Characteristics of the Monte-Carlo Clustering Processor (MCCP) - a Field Programmable Logic- based Custom Computing Machine. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Jo Depreitere, Henk Neefs, Herwig Van Marck, Jan Van Campenhout, Roel Baets, Bart Dhoedt, Hugo Thienpont, Irina Veretennicoff An Optoelectronic 3-D Field Programmable Gate Array. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Tsuyoshi Isshiki, Wayne Wei-Ming Dai Hight-Performance Datapath Implementation on Field-Programmable Multi-Chip Module (FPMCM). Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41David C.-L. Lam Educational Use of Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Juan J. Rodríguez-Andina, Jacobo Álvarez, Enrique Mandado Design of Safety Systems Using Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Jouni Isoaho, Arto Nummela, Hannu Tenhunen Technologies and Utilization fo Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
41Hartmut Surmann, Ansgar Ungering, Karl Goser Optimized Fuzzy Controller Architecture for Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
41Alberto L. Sangiovanni-Vincentelli Some Considerations on Field-Programmable Gate Arrays and Their Impact on System Design. Search on Bibsonomy FPL The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
40Diego Pedro Morales, Antonio García 0001, Alberto J. Palma, Miguel A. Carvajal, Encarnación Castillo, Luis F. Capitán-Vallvey Enhancing ADC resolution through Field Programmable Analog Array dynamic reconfiguration. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40John W. Lockwood, Naji Naufel, Jonathan S. Turner, David E. Taylor Reprogrammable network packet processing on the field programmable port extender (FPX). Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Internet, FPGA, routing, network, ATM, modularity, reconfiguration, processing, IP, hardware, packet
40John W. Lockwood, Jonathan S. Turner, David E. Taylor Field programmable port extender (FPX) for distributed routing and queuing. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Marios Iliopoulos, Theodore Antonakopoulos 0001 Reconfigurable Network Processors Based on Field Programmable System Level Integrated Circuits. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Behzad Mahdavikhah, Ramin Mafi, Shahin Sirouspour, Nicola Nicolici Haptic rendering of deformable objects using a multiple FPGA parallel computing architecture. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF conjugate gradient (CG), finite-element modeling (FEM), field-programmable gate array (FPGA)
38Qiang Wang, Subodh Gupta, Jason Helge Anderson Clock power reduction for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, clocking
38Didier Keymeulen, Adrian Stoica, Ricardo Salem Zebulum, Srinivas Katkoori, Pradeep Fernando, Hariharan Sankaran, Mohammad M. Mojarradi, Taher Daud Self-Reconfigurable Mixed-Signal Integrated Circuits Architecture Comprising a Field Programmable Analog Array and a General Purpose Genetic Algorithm IP Core. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Self-Healing and Compensation, Self-reconfigurable, Field Programmable Analog Array
38Javier Ramírez 0001, Antonio García 0001, Uwe Meyer-Bäse, Fred J. Taylor, Antonio Lloris-Ruíz Implementation of RNS-Based Distributed Arithmetic Discrete Wavelet Transform Architectures Using Field-Programmable Logic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable logic, digital signal processing, discrete wavelet transform, residue number system, distributed arithmetic
38Paul Berube, Ashley Zinyk, José Nelson Amaral, Mike H. MacGregor The Bank Nth Chance Replacement Policy for FPGA-Based CAMs. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multizone cache, field programmable gate array, cache memories, memory systems, content addressable memories, replacement policy, digital design, Internet routing
38Amit Chowdhary, John P. Hayes General technology mapping for field-programmable gate arrays based on lookup tables. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF lookup tables (LUTs), multiple-LUT blocks, nonrooted trees, field-programmable gate arrays, mapping, synthesis, circuit partitioning, rooted trees, Basis
38Pedro C. Diniz, Joonseok Park Data reorganization engines for the next generation of system-on-a-chip FPGAs. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable-gate-arrays (FPGAs), high-level synthesis, data reorganization
38Sriram Swaminathan, Russell Tessier, Dennis Goeckel, Wayne P. Burleson A dynamically reconfigurable adaptive viterbi decoder. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF field-programmable-gate-arrays (FPGAs), high-level synthesis, data reorganization
38Stephen D. Scott 0001, Ashok Samal, Sharad C. Seth HGA: A Hardware-Based Genetic Algorithm. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF performance acceleration, performance evaluation, field programmable gate arrays, function optimization, parallel genetic algorithms
38S. A. Rahim, Laurence E. Turner A Field Programmable Bit-Serial Digital Signal Processor. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Sushil Chandra Jain, Anshul Kumar, Shashi Kumar Efficient Embedding of Partitioned Circuits onto Multi-FPGA Boards. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
36Mihai Sima, Stamatis Vassiliadis, Sorin Cotofana, Jos T. J. van Eijndhoven, Kees A. Vissers Field-Programmable Custom Computing Machines - A Taxonomy -. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Tom Kean Secure Configuration of Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
35Luca Macchiarulo, Consolato F. Caccamo, Davide Pandini A comparison between mask- and field-programmable routing structures on industrial FPGA architectures. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF mask-programmable, FPGA, routing, interconnect architectures
35A. Manoj Kumar, B. Jayaram 0002, V. Kamakoti 0001 SHAPER: synthesis for hybrid FPGA architectures containing PLA elements using reconvergence analysis. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Binlin Guo, Jiarong Tong A SC-based novel configurable analog cell. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
35Naotaka Ohsawa, Masanori Hariyama, Michitaka Kameyama High-Performance Field Programmable VLSI Processor Based on a Direct Allocation of a Control/Data Flow Graph. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF FPGA, Reconfigurable processor, Two-dimensional array, Bit-serial architecture
35Peter Zipf, Manfred Glesner, Christine Bauer 0002, Hans Wojtkowiak Handling FPGA Faults and Configuration Sequencing Using a Hardware Extension. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
35Tero Rissa, Tommi Mäkeläinen, Jarkko Niittylahti, Jouni Siirtola Fast Prototyping Using System Emulators. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Yee Jern Chong, Sri Parameswaran Flexible multi-mode embedded floating-point unit for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual-precision, embedded block, fpu, fpga, floating-point, fpga architecture
34Theepan Moorthy, Andy Ye A scalable computing and memory architecture for variable block size motion estimation on Field-Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34James W. Crouch, Hiren J. Patel, Yong C. Kim, Robert W. Bennington Creating unique identifiers on field programmable gate arrays using natural processing variations. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Ping Chen, Andy Ye The effect of sparse switch patterns on the area efficiency of multi-bit routing resources in field-programmable gate arrays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Pedro C. Diniz, Gokul Govindu Design of a Field-Programmable Dual-Precision Floating-Point Arithmetic Unit. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Julien Lamoureux, Steven J. E. Wilton Activity Estimation for Field-Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle A Field Programmable RFID Tag and Associated Design Flow. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Andy Gean Ye, Jonathan Rose Using bus-based connections to improve field-programmable gate array density for implementing datapath circuits. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF datapath regularity, reconfigurable fabric, FPGA architecture, routing architecture, area efficiency
34Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
34Pak K. Chan, Martine D. F. Schlag Parallel placement for field-programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel placement, FPGAs, timing-driven placement, analytical placement
34Peter Suaris, Dongsheng Wang 0012, Pei-Ning Guo, Nan-Chi Chou A physical retiming algorithm for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34L. Kalyan Kumar, Amol J. Mupid, Aditya S. Ramani, V. Kamakoti 0001 Testable Clock Routing Architecture for Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Paul M. Heysters, Jaap Smit, Gerard J. M. Smit, Paul J. M. Havinga Mapping of DSP Algorithms on Field Programmable Function Arrays. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Tyler J. Moeller, David R. Martinez Field Programmable Gate Array Based Radar Front-End Digital Signal Processing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Tsutomu Maruyama, Terunobu Funatsu, Tsutomu Hoshino A Field-Programmable Gate-Array System for Evolutionary Computation. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Gordon J. Brebner Field-Programmable Logic: Catalyst for New Computing Paradigms. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
34Qiang Wang, David M. Lewis Automated field-programmable compute accelerator design using partial evaluation. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
34Tong Liu 0007, Wei-Kang Huang, Fabrizio Lombardi Testing of Uncustomized Segmented Channel Field Programmable Gate Arrays. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF constant testability, FPGA, testing, manufacturing
34Vijayshri Maheshwari, Joel Darnauer, John Ramirez, Wayne Wei-Ming Dai Design of FPGAs with Area I/O for Field Programmable MCM. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
34Chen Chen 0018, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra Efficient FPGAs using nanoelectromechanical relays. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS-NEM FPGA, nanoelectromechanical relay
34Yan Lin 0001, Fei Li 0003, Lei He 0001 Circuits and architectures for field programmable gate array with configurable supply voltage. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Bogdan Pankiewicz, Marek Wójcikowski, Stanislaw Szczepanski, Yichuang Sun A CMOS field programmable analog array and its application in continuous-time OTA-C filter design. Search on Bibsonomy ISCAS (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Mohammed A. S. Khalid, Jonathan Rose A Hybrid Complete-Graph Partial-Crossbar Routing Architecture for Multi-FPGA Systems. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Ming Liu, Hua Yu, Wei Wang 0003 FPAA Based on Integration of CMOS and Nanojunction Devices for Neuromorphic Applications. Search on Bibsonomy NanoNet The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field programmable analog arrays (FPAA), Nanojunction devices, Operational amplifier (Op-amp)
33Amit Laknaur, Sai Raghuram Durbha, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF programmable capacitor array, built-in-self-testing, analog testing, field programmable analog array
33Zhining Huang, Sharad Malik, Nahri Moreano, Guido Araujo The design of dynamically reconfigurable datapath coprocessors. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coarse-grain reconfigurable fabric, reconfigurable datapath, Loop pipelining, interconnection design, datapath synthesis
33Zhining Huang, Sharad Malik Exploiting operation level parallelism through dynamically reconfigurable datapaths. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Diego Pedro Morales, Antonio García 0001, Alberto J. Palma, Antonio Martínez-Olmos, Encarnación Castillo Exploiting Analog and Digital Reconfiguration for Smart Sensor Interfacing. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
33Jianmin Li, Chung-Kuan Cheng Routability improvement using dynamic interconnect architecture. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
33Yachyang Sun, Ting-Chi Wang, Chak-Kuen Wong, C. L. Liu 0001 Routing for symmetric FPGAs and FPICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
32Florent Bruguier, Pascal Benoit, Philippe Maurine, Lionel Torres A New Process Characterization Method for FPGAs Based on Electromagnetic Analysis. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF ElectroMagnetic Analysis (EMA), Process Characterisation, Field-Programmable Gate Arrays (FPGAs), Ring Oscillator
32Rehan Ahmed, Peter Hallschmid Modeling and Evaluation of Dynamic Partial Reconfigurable Datapaths for FPGA-Based Systems Using Stochastic Networks. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Modeling, Field-Programmable Gate Arrays, Reconfigurable Computing, Queueing Theory, Partial Reconfiguration
Displaying result #1 - #100 of 9881 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license