The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-sizing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1996 (19) 1997-1998 (15) 1999-2000 (15) 2001-2003 (19) 2004 (15) 2005 (24) 2006 (22) 2007 (19) 2008 (30) 2009-2010 (18) 2011-2012 (22) 2013-2014 (15) 2015-2017 (16) 2018-2021 (16) 2022-2024 (9)
Publication types (Num. hits)
article(75) incollection(2) inproceedings(197)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 183 occurrences of 100 keywords

Results
Found 274 publication records. Showing 274 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
135Puneet Gupta 0001, Andrew B. Kahng, Amarnath Kasibhatla, Puneet Sharma Eyecharts: constructive benchmarking of gate sizing heuristics. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF dynamic programming, benchmarking, gate sizing
128Narender Hanchate, Nagarajan Ranganathan Simultaneous Interconnect Delay and Crosstalk Noise Optimization through Gate Sizing Using Game Theory. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Game theory, gate sizing, transmission lines, crosstalk noise, interconnect models, interconnect delay
115Vishal Khandelwal, Ankur Srivastava 0001 Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF post-silicon tunability, variability, stochastic optimization, timing optimization, gate sizing
100Debjit Sinha, Hai Zhou 0001, Chris C. N. Chu Optimal gate sizing for coupling-noise reduction. Search on Bibsonomy ISPD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF coupling-noise, gate-sizing, lattice theory, fixpoint
92Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Post-route gate sizing for crosstalk noise reduction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF crosstalk noise repair, gate sizing
92Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru An iterative gate sizing approach with accurate delay evaluation. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay evaluation, linear program, iteration, gate sizing
91Vishal Khandelwal, Ankur Srivastava 0001 Variability-Driven Formulation for Simultaneous Gate Sizing and Postsilicon Tunability Allocation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
88Ashok K. Murugavel, N. Ranganathan Gate Sizing and Buffer Insertion using Economic Models for Power Optimization. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
83Yu-Hui Huang, Po-Yuan Chen, TingTing Hwang Switching-activity driven gate sizing and Vth assignment for low power design. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
83Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF acyclic pipelines, area-delay tradeoff, clock skew optimization, cycle-borrowing, logic design, combinational circuits, logic CAD, pipeline processing, circuit CAD, circuit optimisation, gate sizing, logic gates, pipelined circuits, timing specifications
80Koustav Bhattacharya, Nagarajan Ranganathan A linear programming formulation for security-aware gate sizing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic power variance, linear programming., path balancing, differential power analysis, gate sizing
80Jaskirat Singh, Vidyasagar Nookala, Zhi-Quan Luo, Sachin S. Sapatnekar Robust gate sizing by geometric programming. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF posynomial, uncertainty ellipsoid, optimization, gate sizing, geometric program
79Foad Dabiri, Ani Nahapetian, Tammara Massey, Miodrag Potkonjak, Majid Sarrafzadeh General Methodology for Soft-Error-Aware Power Optimization Using Gate Sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
76Hiran Tennakoon, Carl Sechen Efficient and accurate gate sizing with piecewise convex delay models. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF piecewise convex, optimization, Lagrangian relaxation, gate sizing, delay modeling
74Ashutosh Chakraborty, David Z. Pan On stress aware active area sizing, gate sizing, and repeater insertion. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF performance, buffer, sizing, stress, repeater
72Venkataraman Mahalingam, N. Ranganathan, Justin E. Harlow III A novel approach for variation aware power minimization during gate sizing. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
72Venkataraman Mahalingam, N. Ranganathan A Nonlinear Programming Based Power Optimization Methodology for Gate Sizing and Voltage Selection. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
72Nagarajan Ranganathan, Upavan Gupta, Venkataraman Mahalingam Variation-aware multimetric optimization during gate sizing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF optimization, delay, power, mathematical programming, Gate sizing, crosstalk noise
72Zhanyuan Jiang, Weiping Shi Circuit-wise buffer insertion and gate sizing algorithm with scalability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, gate sizing, interconnect synthesis
68Narender Hanchate, Nagarajan Ranganathan Post-Layout Gate Sizing for Interconnect Delay and Crosstalk Noise Optimization. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
68Yifang Liu, Jiang Hu A new algorithm for simultaneous gate sizing and threshold voltage assignment. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF threshold voltage assignment, gate sizing
67Foad Dabiri, Ani Nahapetian, Miodrag Potkonjak, Majid Sarrafzadeh Soft Error-Aware Power Optimization Using Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
67Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Postroute gate sizing for crosstalk noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
67N. Ranganathan, Ashok K. Murugavel A Microeconomic Model for Simultaneous Gate Sizing and Voltage Scaling for Power Optimization. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
67Murat R. Becer, David T. Blaauw, Ilan Algor, Rajendran Panda, Chanhee Oh, Vladimir Zolotov, Ibrahim N. Hajj Post-Route Gate Sizing for Crosstalk Noise Reduction. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
67Harsha Sathyamurthy, Sachin S. Sapatnekar, John P. Fishburn Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
64Sachin S. Sapatnekar, Weitong Chuang Power vs. delay in gate sizing: conflicting objectives? Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power-delay tradeoffs, short-circuit power, logic design, logic CAD, integrated circuit design, circuit CAD, optimization problem, circuit optimisation, gate sizing, convex programming, CMOS digital integrated circuits, dynamic power
63Debjit Sinha, Hai Zhou 0001 Gate sizing for crosstalk reduction under timing constraints by Lagrangian relaxation. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60Noriyuki Miura, Naoki Kato, Tadahiro Kuroda Practical methodology of post-layout gate sizing for 15% more power saving. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
60Joe G. Xi, Wayne Wei-Ming Dai Useful-Skew Clock Routing with Gate Sizing for Low Power Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
58I-Min Liu, Adnan Aziz Delay Constrained Optimization by Simultaneous Fanout Tree Construction, Buffer Insertion/Sizing and Gate Sizing. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
56Quming Zhou, Kartik Mohanram Gate sizing to radiation harden combinational logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
56Scott Hanson, Dennis Sylvester, David T. Blaauw A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage scaling, gate sizing, subthreshold circuits
56Azadeh Davoodi, Ankur Srivastava 0001 Variability driven gate sizing for binning yield optimization. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF speed binning, process variations, gate sizing
56Brian Swahn, Soha Hassoun Gate sizing: finFETs vs 32nm bulk MOSFETs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate sizing, thermal modeling, FinFET
56Jaskirat Singh, Zhi-Quan Luo, Sachin S. Sapatnekar A Geometric Programming-Based Worst Case Gate Sizing Method Incorporating Spatial Correlation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
56Jeng-Liang Tsai, Dong Hyun Baik, Charlie Chung-Ping Chen, Kewal K. Saluja False Path and Clock Scheduling Based Yield-Aware Gate Sizing. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
56E. T. A. F. Jacobs, Michel R. C. M. Berkelaar Gate Sizing Using a Statistical Delay Model. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
56Masanori Hashimoto, Hidetoshi Onodera, Keikichi Tamaru A power optimization method considering glitch reduction by gate sizing. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
52Venkataraman Mahalingam, N. Ranganathan, J. E. Harlow A Fuzzy Optimization Approach for Variation Aware Power Minimization During Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Narender Hanchate, Nagarajan Ranganathan Statistical Gate Sizing for Yield Enhancement at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
52Debjit Sinha, Narendra V. Shenoy, Hai Zhou 0001 Statistical Timing Yield Optimization by Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
50Murari Mani, Mahesh Sharma, Michael Orshansky Application of fast SOCP based statistical sizing in the microprocessor design flow. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
48Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David T. Blaauw A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Min Ni, Seda Ogrenci Memik Leakage power-aware clock skew scheduling: converting stolen time into leakage power reduction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dual-Vth, leakage power optimization, gate sizing, clock skew scheduling
48Sreeja Raj, Sarma B. K. Vrudhula, Janet Meiling Wang A methodology to improve timing yield in the presence of process variations. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF timing analysis, gate sizing, timing yield
48Sarvesh Bhardwaj, Sarma B. K. Vrudhula Leakage Minimization of Digital Circuits Using Gate Sizing in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
48Giorgos Dimitrakopoulos, Dimitris Nikolos Closed-Form Bounds for Interconnect-Aware Minimum-Delay Gate Sizing. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
48Olivier Coudert Gate sizing for constrained delay/power/area optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
47Kerry S. Lowe, P. Glenn Gulak A joint gate sizing and buffer insertion method for optimizing delay and power in CMOS and BiCMOS combinational logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
44Jason Cong, John Lee 0002, Lieven Vandenberghe Robust gate sizing via mean excess delay minimization. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF robust gate sizing, process variation, geometric programming, conditional value-at-risk
44Feng Gao 0017, John P. Hayes Total power reduction in CMOS circuits via gate sizing and multiple threshold voltages. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, linear programming, gate sizing, dual Vt
44Miodrag Vujkovic, David Wadkins, William Swartz, Carl Sechen Efficient timing closure without timing driven placement and routing. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF digital design flow, gate sizing, placement and routing, timing closure
44Arindam Mukherjee 0001, Krishna Reddy Dusety, Rajsaktish Sankaranarayan A practical CAD technique for reducing power/ground noise in DSM circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF power/ground noise, low power, linear programming, timing analysis, gate sizing, simultaneous switching noise
44Xiangning Yang, Kewal K. Saluja Combating NBTI Degradation via Gate Sizing. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Shiyan Hu, Mahesh Ketkar, Jiang Hu Gate Sizing For Cell Library-Based Designs. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Hsinwei Chou, Yu-Hao Wang, Charlie Chung-Ping Chen Fast and effective gate-sizing with multiple-Vt assignment using generalized Lagrangian Relaxation. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Debjit Sinha, Hai Zhou 0001 Yield driven gate sizing for coupling-noise reduction under uncertainty. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Murari Mani, Michael Orshansky A New Statistical Optimization Algorithm for Gate Sizing. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Hiran Tennakoon, Carl Sechen Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
44Wei Chen, Cheng-Ta Hsieh, Massoud Pedram Simultaneous gate sizing and placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
44Michel R. C. M. Berkelaar, Pim H. W. Buurman, Jochen A. G. Jess Computing the entire active area/power consumption versus delay tradeoff curve for gate sizing with a piecewise linear simulator. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Guangqiu Chen, Hidetoshi Onodera, Keikichi Tamaru Timing and Power Optimization by Gate Sizing Considering False Paths. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
44Wing Ning Strongly NP-hard discrete gate-sizing problems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
44Michel R. C. M. Berkelaar, Pim H. W. Buurman, Jochen A. G. Jess Computing the entire active area/power consumption versus delay trade-off curve for gate sizing with a piecewise linear simulator. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
43Sanghamitra Roy, Yu Hen Hu, Charlie Chung-Ping Chen, Shih-Pin Hung, Tse-Yu Chiang, Jiuan-Guei Tseng An optimal algorithm for sizing sequential circuits for industrial library based designs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
41Debjit Sinha, Hai Zhou 0001 Gate-size optimization under timing constraints for coupling-noise reduction. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40N. Ranganathan, Upavan Gupta, Venkataraman Mahalingam Simultaneous optimization of total power, crosstalk noise, and delay under uncertainty. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, delay, power, gate sizing, crosstalk noise, fuzzy programming
40Jianhua Liu, Yi Zhu 0002, Haikun Zhu, Chung-Kuan Cheng, John Lillis Optimum Prefix Adders in a Comprehensive Area, Timing and Power Design Space. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF optimum prefix adders, static power consumptions, dynamic power consumptions, high-level synthesis, integer linear programming, buffer insertion, gate sizing, power models, ASIC designs, parallel prefix adder, binary adder
40Aseem Agarwal, Kaviraj Chopra, David T. Blaauw Statistical Timing Based Optimization using Gate Sizing. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Rajeev R. Rao, David T. Blaauw, Dennis Sylvester Soft error reduction in combinational logic using gate resizing and flipflop selection. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Weiguang Sheng, Liyi Xiao, Zhigang Mao Soft error optimization of standard cell circuits based on gate sizing and multi-objective genetic algorithm. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF genetic algorithm, optimization, soft error, multi-objective
39Chen Li 0004, Cheng-Kok Koh, Patrick H. Madden Floorplan management: incremental placement for gate sizing and buffer insertion. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36R. Reed Taylor, Herman Schmit Creating a power-aware structured ASIC. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VPGA, low-power, voltage scaling, power optimization, gate sizing, structured ASIC
36Chingwei Yeh, Min-Cheng Chang, Shih-Chieh Chang, Wen-Ben Jone Power reduction through iterative gate sizing and voltage scaling. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36How-Rern Lin, TingTing Hwang Dynamical identification of critical paths for iterative gate sizing. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Tao Luo 0002, David Newmark, David Z. Pan Total power optimization combining placement, sizing and multi-Vt through slack distribution management. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36David Nguyen, Abhijit Davare, Michael Orshansky, David G. Chinnery, Brandon Thompson, Kurt Keutzer Minimization of dynamic and static power through joint assignment of threshold voltages and sizing optimization. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF dual threshold, sizing, dual supply voltage, simultaneous
36Yanbin Jiang, Sachin S. Sapatnekar, Cyrus Bamji, Juho Kim Interleaving buffer insertion and transistor sizing into a single optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
32Koustav Bhattacharya, Nagarajan Ranganathan A unified gate sizing formulation for optimizing soft error rate, cross-talk noise and power under process variations. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Azadeh Davoodi, Ankur Srivastava 0001 Variability Driven Gate Sizing for Binning Yield Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Sanghamitra Roy, Weijen Chen, Charlie Chung-Ping Chen, Yu Hen Hu Numerically Convex Forms and Their Application in Gate Sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Ashish Dobhal, Vishal Khandelwal, Azadeh Davoodi, Ankur Srivastava 0001 Variability Driven Joint Leakage-Delay Optimization Through Gate Sizing with Provabale Convergence. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31M. Emadi, Amir Jafargholi, M. H. Sargazi Moghadam, Mohammad Mahdi Nayebi Optimum Supply and Threshold Voltages and Transistor Sizing Effects on Low Power SOI Circuit Design. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31Philippe Maurine, Xavier Michel, Nadine Azémard, Daniel Auvergne Gate speed improvement at minimal power dissipation. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Gracieli Posser, Guilherme Flach, Gustavo Wilke, Ricardo Reis 0001 Transistor sizing and gate sizing using geometric programming considering delay minimization. Search on Bibsonomy NEWCAS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
29Nathaniel A. Conos, Saro Meguerdichian, Miodrag Potkonjak Gate sizing in the presence of gate switching activity and input vector control. Search on Bibsonomy VLSI-SoC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
29Sarvesh Bhardwaj, Yu Cao 0001, Sarma B. K. Vrudhula Statistical Leakage Minimization of Digital Circuits Using Gate Sizing, Gate Length Biasing, Threshold Voltage Selection. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Chin Ngai Sze, Charles J. Alpert, Jiang Hu, Weiping Shi Path-Based Buffer Insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Narender Hanchate, Nagarajan Ranganathan Integrated Gate and Wire Sizing at Post Layout Level. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Cliff C. N. Sze, Charles J. Alpert, Jiang Hu, Weiping Shi Path based buffer insertion. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF layout, physical design, global routing, buffer insertion, power minimization, interconnect synthesis
28Weitong Chuang, Ibrahim N. Hajj Delay and area optimization for compact placement by gate resizing and relocation. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
27Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy 0001 Profit Aware Circuit Design Under Process Variations Considering Speed Binning. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy 0001 Speed binning aware design methodology to improve profit under parameter variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Zhuo Li 0001, David A. Papa, Charles J. Alpert, Shiyan Hu, Weiping Shi, Cliff C. N. Sze, Nancy Ying Zhou Ultra-fast interconnect driven cell cloning for minimizing critical path delay. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gate duplication, physical synthesis, timing-driven placement
24Peter-Michael Seidel, Guy Even Delay-Optimized Implementation of IEEE Floating-Point Addition. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF IEEE rounding, dual path algorithm, optimized gate sizing, buffer insertion, delay optimization, logical effort, Floating-point addition
24Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera Crosstalk noise optimization by post-layout transistor sizing. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise
24Chris C. N. Chu, D. F. Wong 0001 VLSI Circuit Performance Optimization by Geometric Programming. Search on Bibsonomy Ann. Oper. Res. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF unary geometric programming, circuit performance optimization, VLSI design, Lagrangian relaxation, gate sizing, transistor sizing, wire sizing
24Jason Cong, Patrick H. Madden Performance Driven Multi-Layer General Area Routing for PCB/MCM Designs. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
24Hsiao-Ping Tseng, Louis Scheffer, Carl Sechen Timing and Crosstalk Driven Area Routing. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis, gate-sizing, fanout optimization
Displaying result #1 - #100 of 274 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license