The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for transistor with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1957 (21) 1958-1959 (15) 1960-1966 (15) 1967-1984 (19) 1985-1986 (22) 1987-1988 (46) 1989 (31) 1990 (38) 1991 (32) 1992 (30) 1993 (32) 1994 (45) 1995 (67) 1996 (56) 1997 (64) 1998 (88) 1999 (131) 2000 (108) 2001 (136) 2002 (162) 2003 (183) 2004 (179) 2005 (243) 2006 (281) 2007 (271) 2008 (267) 2009 (143) 2010 (92) 2011 (92) 2012 (98) 2013 (88) 2014 (101) 2015 (105) 2016 (108) 2017 (125) 2018 (127) 2019 (121) 2020 (116) 2021 (138) 2022 (109) 2023 (140) 2024 (28)
Publication types (Num. hits)
article(1480) book(1) data(2) incollection(5) inproceedings(2780) phdthesis(45)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1578 occurrences of 818 keywords

Results
Found 4313 publication records. Showing 4313 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
90Michel Renovell, P. Huc, Yves Bertrand Serial transistor network modeling for bridging fault simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial transistor network modeling, voting model, biased voting model, relative transistor strength, SPICE pre-simulation, fault simulation procedure, CMOS logic, fault diagnosis, logic testing, integrated circuit testing, digital simulation, circuit analysis computing, CMOS logic circuits, SPICE, integrated circuit modelling, bridging fault simulation
77Jacob White 0001, Jacob Avidan, Ibrahim Abe M. Elfadel, D. F. Wong 0001 Advances in transistor timing, simulation, and optimization (tutorial abstract). Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
69Andrea Calimera, Enrico Macii, Massimo Poncino NBTI-aware sleep transistor design for reliable power-gating. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, sizing, sleep-transistor, nbti
69Zuying Luo General transistor-level methodology on VLSI low-power design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer, transistor level, simulation, optimization
66Michael A. Riepe, Karem A. Sakallah Transistor placement for noncomplementary digital VLSI cell synthesis. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Cell Synthesis, Euler graphs, noncomplementary circuits, sequence pair optimization, transistor chaining, transistor placement, digital circuits, benchmark circuits
65Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Noise constrained transistor sizing and power optimization for dual Vst domino logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
63Ashoka Visweswara Sathanur, Antonio Pullini, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Optimal sleep transistor synthesis under timing and area constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF row-based, clustering, leakage power, power-gating, standard cell, sleep transistor
60Jason Cong, Lei He 0001 An efficient approach to simultaneous transistor and interconnect sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CH-posynomial programs, STIS, driver/buffer, transistor and interconnect sizing, wire sizing problem, circuit CAD, transistor sizing
57Kaijian Shi, David Howard Challenges in sleep transistor design and implementation in low-power designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF methodology, low-power design, power gating, sleep transistor
57Masanori Hashimoto, Masao Takahashi, Hidetoshi Onodera Crosstalk noise optimization by post-layout transistor sizing. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitive coupling noise, post-layout optimization, gate sizing, transistor sizing, crosstalk noise
57Kei-Yong Khoo, Alan N. Willson Jr. Single-transistor transparent-latch clocking. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transparent-latch clocking, single-phase clocking scheme, CMOS VLSI designs, single NMOS transistor, allowable width, clock driver, dynamic buffer, architecture-driven voltage scaling, pipelining latches, latch-intensive architectures, filter structures, transposed-form FIR filter, VLSI, flip-flops, clocks, integrated circuit design, digital filters, FIR filters, power dissipation, CMOS digital integrated circuits
53Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Exact minimum-width multi-row transistor placement for dual and non-dual CMOS cells. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Wei Xu 0021, Yiran Chen 0001, Xiaobin Wang, Tong Zhang 0002 Improving STT MRAM storage density through smaller-than-worst-case transistor sizing. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF STT MRAM, defect tolerance, transistor sizing
51Anand Ramalingam, Giri Devarayanadurg, David Z. Pan Accurate power grid analysis with behavioral transistor network modeling. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RC model of transistor, behavioral modeling of switch, power grid
48Xiaoqing Wen, Hideo Tamamoto, Kozo Kinoshita Transistor leakage fault location with ZDDQ measurement. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF field effect transistor circuits, transistor leakage fault location, I/sub DDQ/ measurement, equivalence fault collapsing, diagnosed faults, gate-array circuit, fault diagnosis, logic testing, random tests, fault location, CMOS logic circuits, leakage currents, logic arrays, CMOS circuit, deterministic tests, electric current measurement, diagnostic resolution
48Víctor H. Champac, Antonio Rubio 0001, Joan Figueras Electrical model of the floating gate defect in CMOS ICs: implications on IDDQ testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
47Ehsan Pakbaznia, Massoud Pedram Coarse-Grain MTCMOS Sleep Transistor Sizing Using Delay Budgeting. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Liangpeng Guo, Yici Cai, Qiang Zhou 0001, Le Kang, Xianlong Hong A novel performance driven power gating based on distributed sleep transistor network. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF physical design, power-gating, sleep transistors
47Zhao Li, Ravikanth Suravarapu, Roy Hartono, Sambuddha Bhattacharya, Kartikeya Mayaram, C.-J. Richard Shi CrtSmile: a CAD tool for CMOS RF transistor substrate modeling incorporating layout effects. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
47Renato E. B. Poli, Felipe Ribeiro Schneider, Renato P. Ribas, André Inácio Reis Unified Theory to Build Cell-Level Transistor Networks from BDDs. Search on Bibsonomy SBCCI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Chia-Pin R. Liu, Jacob A. Abraham Transistor Level Synthesis for Static CMOS Combinational Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Razak Hossain, Menghui Zheng, Alexander Albicki Reducing power dissipation in CMOS circuits by signal probability based transistor reordering. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
47Allen C.-H. Wu, Nels Vander Zanden, Daniel Gajski A new algorithm for transistor sizing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
47Tatjana Serdar, Carl Sechen AKORD: transistor level and mixed transistor/gate level placement tool for digital data paths. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
45Ang-Chih Hsieh, Tzu-Teng Lin, Tsuang-Wei Chang, TingTing Hwang A functionality-directed clustering technique for low-power MTCMOS design - computation of simultaneously discharging current. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DSTN, low power, MTCMOS, sleep transistor
45Ahmad Sabirin Zoolfakar, Hashimah Hashim Comparison between Experiment and Process Simulation Results for Converting Enhancement to Depletion Mode NMOS Transistor. Search on Bibsonomy Asia International Conference on Modelling and Simulation The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ion implantation, depletion, Enhancement, transistor
45Ian Kuon, Jonathan Rose Automated transistor sizing for FPGA architecture exploration. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, FPGA, transistor sizing
45Jie Gu 0003, Hanyong Eom, Chris H. Kim Sleep transistor sizing and control for resonant supply noise damping. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF resonant supply noise, sleep transistor, damping
45Andrea Calimera, Antonio Pullini, Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Design of a family of sleep transistor cells for a clustered power-gating flow in 65nm technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF layout, leakage power, insertion, standard-cell, sleep transistor
45Zhiyuan Li, Fengchang Lai, Mingyan Yu Low-noise high-precision operational amplifier using vertical NPN transistor in CMOS technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF V-NPN transistor, deep n-well CMOS process, high-precision, input bias current cancellation, operational amplifier, low-noise
45Rupesh S. Shelar, Sachin S. Sapatnekar An Efficient Algorithm for Low Power Pass Transistor Logic Synthesis. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Low Power, Logic Synthesis, Pass Transistor Logic
45Paul I. Pénzes, Mika Nyström, Alain J. Martin Transistor sizing of energy-delay--efficient circuits. Search on Bibsonomy Timing Issues in the Specification and Synthesis of Digital Systems The full citation details ... 2002 DBLP  DOI  BibTeX  RDF energy-delay optimization, transistor sizing
45Mostafa I. H. Abd-El-Barr, Yanging Xu, Carl McCrosky Transistor Stuck-Open Fault Detection in Multilevel CMOS Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Transistor stuck-open fault, multi-level CMOS circuits testing, robust CMOS testing, test pattern generation, two-pattern test
45Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah Optimization of custom MOS circuits by transistor sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, optimization, Circuits, gradients, transistor sizing
42Anirudh Devgan Accurate device modeling techniques for efficient timing simulation of integrated circuits. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF device modeling techniques, Fast-to-evaluate and Accurate Simplified Transistor, aggressive MOS technologies, FAST models, timing, AGES, circuit analysis computing, integrated circuits, circuit simulators, transient analysis, transistors, transistor, transient simulator, timing simulation, timing simulator, electronic engineering computing, semiconductor device models
42Vishal Khandelwal, Ankur Srivastava 0001 Leakage Control Through Fine-Grained Placement and Sizing of Sleep Transistors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Vishal Khandelwal, Ankur Srivastava 0001 Leakage control through fine-grained placement and sizing of sleep transistors. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Vivek De Leakage-tolerant design techniques for high performance processors. Search on Bibsonomy ISPD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
42H.-S. Philip Wong, Jie Deng, Arash Hazeghi, Tejas Krishnamohan, Gordon C. Wan Carbon nanotube transistor circuits: models and tools for design and performance optimization. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Hayssam El-Razouk, Zine Abid A New Transistor-Redundant Voter for Defect-Tolerant Digital Circuits. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Himanshu Thapliyal, A. Prasad Vinod 0001 Transistor Realization of Reversible TSG Gate and Reversible Adder Architectures. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Changbo Long, Lei He 0001 Distributed sleep transistor network for power reduction. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
42Changbo Long, Lei He 0001 Distributed sleep transistor network for power reduction. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
42Krzysztof S. Berezowski Transistor Chainning with Integrated Dynamic Folding for 1-D Leaf Cell Synthesis. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Artur Wróblewski, Otto Schumacher, Christian V. Schimpfle, Josef A. Nossek Minimizing gate capacitances with transistor sizing. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
42Piotr R. Sidorowicz Modeling and Testing Transistor Faults in Content-Addressable Memories. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Alexander Chatzigeorgiou, Spiridon Nikolaidis 0001 Collapsing the Transistor Chain to an Effective Single Equivalent Transistor. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
39Qin Tang, Amir Zjajo, Michel Berkelaar, Nick van der Meijs RDE-based transistor-level gate simulation for statistical static timing analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF non-Monte Carlo, transistor-level modeling, statistical static timing analysis
39Wojciech Maly Vertical slit transistor based integrated circuits (VeSTICs) paradigm. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual gate transistor, ic deign-manufacturing paradigm, vertical channel, vesfet, 3d integration, regular fabric, dfm
39Yi-Wei Lin, Malgorzata Marek-Sadowska, Wojciech Maly Transistor-level layout of high-density regular circuits. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF transistor layout, placement and routing, regular fabric, dfm
39Suman Datta Low voltage tunnel transistor architecture and its viability for energy efficient logic applications. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design, tunnel, transistor
39Lara D. Oliver, Krishnendu Chakrabarty, Hisham Z. Massoud Dual-threshold pass-transistor logic design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual threshold, pass transistor, low power, leakage
39Kumar Yelamarthi, Chien-In Henry Chen Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary-to-thermometer decoder, process variations, timing optimization, transistor sizing, dynamic circuits, binary adders
39Tiago Muller Gil Cardoso, Leomar S. da Rosa Jr., Felipe de Souza Marques, Renato P. Ribas, André Inácio Reis Speed-Up of ASICs Derived from FPGAs by Transistor Network Synthesis Including Reordering. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Transistor networks, logic synthesis, BDDs, Logical effort
39Guy Dupenloup, Thierry Lemeunier, Roland Mayr Transistor abstraction for the functional verification of FPGAs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF cone model, logic equivalence checking, transistor abstraction, FPGA, register transfer level, multiplexer, functional verification
39Tetsuya Iizuka, Makoto Ikeda, Kunihiro Asada Exact minimum-width transistor placement without dual constraint for CMOS cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF exact minimum-width transistor placement, non-dual, boolean satisfiability
39Abhijit Das On the Transistor Sizing Problem. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Timing Analysis, Timing Optimization, Transistor Sizing, Delay Constraint
39Takahiro Hanyu, Manabu Arakaki, Michitaka Kameyama One-Transistor-Cell 4-Valued Universal-Literal CAM for Cellular Logic Image Processing. Search on Bibsonomy ISMVL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cellular logic image processing, template-matching operations, universal literal, threshold operation, multi-layer interconnectio, parallel template-matching, one-transistor-cell, content-addressable memory, cellular logic
39S. C. Prasad, Kaushik Roy 0001 Transistor reordering for power minimization under delay constraint. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF critical path enumeration, gate input reordering, transistor reordering, power estimation, circuit optimization
39Manish Pandey, Alok Jain, Randal E. Bryant, Derek L. Beatty, Gary York, Samir Jain Extraction of finite state machines from transistor netlists by symbolic simulation. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF finite state machine extraction, transistor netlists, clock level finite state machines, gate level representation, circuit clocking, output timing, simulation patterns, next state, output function, equivalent FSM, static storage structures, time multiplexed inputs, time multiplexed outputs, finite state machines, logic design, logic CAD, circuit analysis computing, FSMs, symbolic simulation, symbolic simulator, Ordered Binary Decision Diagrams
36Aswin Sreedhar, Sandip Kundu Modeling and analysis of non-rectangular transistors caused by lithographic distortions. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar Reducing leakage in a high-performance deep-submicron instruction cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Aleksandra Pavasovic, Andreas G. Andreou, Charles R. Westgate Characterization of subthreshold MOS mismatch in transistors for VLSI systems. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
36Toru Fujimura, Shigetoshi Nakatake Transistor-level programmable MOS analog IC with body biasing. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Aiman H. El-Maleh, Bashir M. Al-Hashimi, Aissa Melouki Transistor-level based defect tolerance for reliable nanoelectronics. Search on Bibsonomy AICCSA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Vahid Moalemi, Ali Afzali-Kusha Subthreshold Pass Transistor Logic for Ultra-Low Power Operation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Ting Wei Chiang, C. Y. Roger Chen, Wei-Yu Chen A technique for selecting CMOS transistor orders. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Dimitri Kagaris, Themistoklis Haniotakis Transistor-Level Synthesis for Low-Power Applications. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Feng Shi 0010, Yiorgos Makris A Transistor-Level Test Strategy for C^2MOS MOUSETRAP Asynchronous Pipelines. Search on Bibsonomy ASYNC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Leomar S. da Rosa Jr., Felipe S. Marques 0001, Tiago Muller Gil Cardoso, Renato P. Ribas, Sachin S. Sapatnekar, André Inácio Reis Fast disjoint transistor networks from BDDs. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PTL, unateness, BDDs, switch theory, CMOS gates
36Emrah Acar, Peter Feldmann Simulation of SOI transistor circuits through non-equilibrium initial condition analysis (NEICA). Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36D. Nadezhin, Sergey Gavrilov, Alexey Glebov, Y. Egorov, Vladimir Zolotov, David T. Blaauw, Rajendran Panda, Murat R. Becer, Alexandre Ardelea, A. Patel SOI Transistor Model for Fast Transient Simulation. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Spiridon Nikolaidis 0001, Haroula Pournara, Alexander Chatzigeorgiou Output Waveform Evaluation of Basic Pass Transistor Structure. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Li Ding 0002, Pinaki Mazumder Optimal Transistor Tapering for High-Speed CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Hai Zhou 0001, Adnan Aziz Buffer minimization in pass transistor logic. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
36Manjit Borah, Robert Michael Owens, Mary Jane Irwin Transistor sizing for low power CMOS circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
36Michel R. Dagenais, Serge Gaiotti, Nicholas C. Rumin Transistor-level estimation of worst-case delays in MOS VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
36Chi-Yi Hwang, Yung-Chin Hsieh, Youn-Long Lin, Yu-Chin Hsu A fast transistor-chaining algorithm for CMOS cell layout. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
36Martin Lefebvre 0001, Chong Chan, Grant Martin Transistor placement and interconnect algorithms for leaf cell synthesis. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
36Serge Gaiotti, Michel R. Dagenais, Nicholas C. Rumin Worst-case Delay Estimation of Transistor Groups. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
36Kye S. Hedlund Aesop: A Tool for Automated Transistor Sizing. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
35Hong Zhu 0009, Volkan Kursun A comprehensive comparison of superior triple-threshold-voltage 7-transistor, 8-transistor, and 9-transistor SRAM cells. Search on Bibsonomy ISCAS The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
33Sajad Loan, S. Qureshi, S. Sundar Kumar Iyer Investigation of a Multizone Drift Doping Based Lateral Bipolar Transistor on Buried Oxide Thick Step. Search on Bibsonomy World Congress on Engineering (Selected Papers) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multizone step, multizone doping, lateral bipolar junction transistor, buried oxide thick step, breakdown voltage
33Yu Wang 0002, Yongpan Liu, Rong Luo, Huazhong Yang, Hui Wang 0004 Two-phase fine-grain sleep transistor insertion technique in leakage critical circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage current reduction, two-phase fine-grain sleep transistor insertion, mixed integer linear programming
33Pietro Babighian, Luca Benini, Alberto Macii, Enrico Macii Post-layout leakage power minimization based on distributed sleep transistor insertion. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sub-threshold current, leakage power, sleep transistor
33Wen-Yaw Chung, Mao-Hsiang Yeh, Jia-Chyi Chen, Shen-Kan Hsiung, Dorota G. Pijanowska, Wladyslaw Torbicz, Jung-Chuan Chou, Tai-Ping Sun Design of a Low-voltage Instrumentation Amplifier for Enzyme-Extended-Gate Field Effect Transistor Based Urea Sensor Application. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF bulk-driven amplifier, enzyme, extended-gate field effect transistor, urea concentration, instrumentation amplifier
33Savithri Sundareswaran, R. Venkatesan, S. Bhaskar An Assertion Based Technique for Transistor Level Dynamic Power Estimation. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic power estimation, transistor level, assertions
33Tsuyoshi Shinogi, Terumine Hayashi, Kazuo Taki Test Generation for Stuck-On Faults in BDD-Based Pass-Transistor Logic SPL. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF DFT circuit, test generation, pass-transistor logic, stuck-on fault
33Premal Buch, Amit Narayan, A. Richard Newton, Alberto L. Sangiovanni-Vincentelli Logic synthesis for large pass transistor circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logic synthesis, BDD, Pass transistor logic
33Arunita Jaekel, Graham A. Jullien, Subir Bandyopadhyay Multilevel Factorization Technique for Pass Transistor Logic. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF algebraic factorization, PTL networks, pass transistor logic
30C. Tabery, M. Craig, Gert Burbach, B. Wagner, S. McGowan, P. Etter, S. Roling, C. Haidinyak, E. Ehrichs Process Window and Device Variations Evaluation using Array-Based Characterization Circuits. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transistor array, transistor matching, via yield, DOE ROM, novel test circuits, DFM
30Ali Keshavarzi, Gerhard Schrom, Stephen Tang, Sean Ma, Keith A. Bowman, Sunit Tyagi, Kevin Zhang 0001, Tom Linton, Nagib Hakim, Steven G. Duvall, John Brews, Vivek De Measurements and modeling of intrinsic fluctuations in MOSFET threshold voltage. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Vt mismatch, Vt variation, random dopant variation, threshold voltage variation, transistor mismatch, transistor threshold voltage mismatch, process variation, CMOS, integrated circuits, variation, transistors, threshold voltage, mismatch, body bias, Vt
30Hassan Ihs, Christian Dufaza Tolerance DC bands of CMOS operational amplifier. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tolerance DC bands, CMOS operational amplifier, DC node voltages, data tolerance bands, foundry process fluctuations, DC branch current, OA, supply voltage, catastrophic defects, transistor connections, optimization, fault diagnosis, integrated circuit testing, fault detection, fault model, fault simulation, circuit optimisation, operational amplifiers, integrated circuit modelling, transistor size, CMOS analogue integrated circuits, design parameters
30Leomar S. da Rosa Jr., Felipe Ribeiro Schneider, Renato P. Ribas, André Inácio Reis Switch level optimization of digital CMOS gate networks. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Alexander L. Stempkovsky, Alexey Glebov, Sergey Gavrilov Calculation of stress probability for NBTI-aware timing analysis. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
30Ashoka Visweswara Sathanur, Luca Benini, Alberto Macii, Enrico Macii, Massimo Poncino Temporal Discharge Current Driven Clustering for Improved Leakage Power Reduction in Row-Based Power-Gating. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Tze Wee Chen, Kyunglok Kim, Young Moon Kim, Subhasish Mitra Gate-Oxide Early Life Failure Prediction. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Aswin Sreedhar, Sandip Kundu On modeling impact of sub-wavelength lithography on transistors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Pablo Aguirre, Fernando Silveira Bias circuit design for low-voltage cascode transistors. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS, low voltage, analog design
30Rohini Krishnan, R. I. M. P. Meijer, Durand Guillaume Energy-efficient FPGA interconnect architecture design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 4313 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license