The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for FPGAs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1988-1992 (17) 1993 (24) 1994 (39) 1995 (70) 1996 (91) 1997 (71) 1998 (174) 1999 (146) 2000 (141) 2001 (145) 2002 (189) 2003 (238) 2004 (313) 2005 (324) 2006 (363) 2007 (373) 2008 (466) 2009 (335) 2010 (271) 2011 (275) 2012 (235) 2013 (278) 2014 (297) 2015 (287) 2016 (279) 2017 (270) 2018 (301) 2019 (307) 2020 (269) 2021 (238) 2022 (240) 2023 (265) 2024 (54)
Publication types (Num. hits)
article(1561) book(9) data(1) incollection(32) inproceedings(5688) phdthesis(79) proceedings(15)
Venues (Conferences, Journals, ...)
ReConFig(858) FPL(762) FPGA(529) FCCM(383) CoRR(245) FPT(180) DATE(121) IPDPS(121) IEEE Trans. Very Large Scale I...(119) DAC(114) IEEE Trans. Comput. Aided Des....(114) ACM Trans. Reconfigurable Tech...(107) ARC(96) ICCAD(85) ISCAS(80) DSD(63) More (+10 of total 806)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3198 occurrences of 1135 keywords

Results
Found 7385 publication records. Showing 7385 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
31Ken McElvain FPGAs at 65NM and Beyond - Powerful New FPGAs Bring New Challenges. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31István Vassányi Implementing Processor Arrays on FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
31Neil Woolfries, Patrick Lysaght, Stephen Marshall, Gordon Charles McGregor, David Robinson Fast Adaptive Image Processing in FPGAs Using Stack Filters. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
30Chen Huang 0005, Frank Vahid Server-side coprocessor updating for mobile devices with FPGAs. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF coprocessing, fpgas, dynamic optimization, acceleration
30Christoph Bösch, Jorge Guajardo, Ahmad-Reza Sadeghi, Jamshid Shokrollahi, Pim Tuyls Efficient Helper Data Key Extractor on FPGAs. Search on Bibsonomy CHES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Intrinsic PUF, Fuzzy Extractor, Helper Data Algorithm, FPGAs, Implementation, Physical Unclonable Functions
30Ahsan Shabbir, Akash Kumar 0001, Bart Mesman, Henk Corporaal Enabling MPSoC Design Space Exploration on FPGAs. Search on Bibsonomy IMTIC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGAs, MPSoC, FIFO, FSL
30Vishal Suthar, Shantanu Dutt High-diagnosability online built-in self-test of FPGAs via iterative bootstrapping. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-tester (BISTer), roving tester (ROTE), FPGAs, functional testing, on-line testing, diagnosability
30Hasan Arslan, Shantanu Dutt An effective hop-based detailed router for FPGAs for optimizing track usage and circuit performance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF bump and refit paradigm, bumping cost, hop-based routing, switchbox, FPGAs, detailed routing, MST
30Pongstorn Maidee, Cristinel Ababei, Kia Bazargan Fast timing-driven partitioning-based placement for island style FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF FPGA placement, partitioning based placement, FPGAs, timing-driven placement
30Deepali Deshpande, Arun K. Somani, Akhilesh Tyagi Hybrid Data/Configuration Caching for Striped FPGAs. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Striped FPGAs, data caching, configuration caching
30Tomoo Inoue, Satoshi Miyazaki, Hideo Fujiwara On the Complexity of Universal Fault Diagnosis for Look-up Table FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Look-up table FPGAs, universal fault diagnosis, diagnosis complexity, C-diagnosable
30Jaswinder Pal Singh, Anshul Kumar, Shashi Kumar A multiplier generator for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiplier generator, Xilinx FPGAs, LUT based FPGA, sequential designs, combinational designs, pipelined designs, IDEAS synthesis system, XC3000 family, XC4000 family, dedicated carry logic, XACT tool, XBLOX tool, field programmable gate arrays, high level synthesis, sequential circuits, combinational circuits, digital arithmetic, logic CAD, pipeline processing, integrated circuit design, circuit CAD, table lookup, multiplying circuits, module generator, carry logic, multiplier designs
30Aiguo Lu, Erik L. Dagless, Jonathan M. Saul DART: delay and routability driven technology mapping for LUT based FPGAs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DART, delay driven technology mapping, LUT based FPGAs, two-phased approach, routability directed delay-optimal mapping, stochastic routability analysis, delay-optimal mapping, field programmable gate arrays, delays, logic design, programmable logic arrays, table lookup, minimisation of switching nets
30Mahesh Mehendale, M. K. Ram Prasad AATMA: an algorithm for technology mapping for antifuse-based FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF AATMA, antifuse-based FPGAs, logic module structure, complex functions, signature-matching based approach, mapping quality, logic module architectures, field programmable gate arrays, directed graphs, combinational circuits, logic CAD, technology mapping, execution times
30A. Pal, R. K. Gorai, V. V. S. S. Raju Synthesis of multiplexer network using ratio parameters and mapping onto FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiplexing equipment, multiplexer network, ratio parameters, Actel ACT1, MCNC benchmark problems, field programmable gate arrays, FPGAs, VLSI, Boolean functions, Boolean functions, logic design, iterative methods, combinational circuits, combinational circuits, logic CAD, multiplexing, search space, tree network, iterative approach
29Yee Jern Chong, Sri Parameswaran Flexible multi-mode embedded floating-point unit for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual-precision, embedded block, fpu, fpga, floating-point, fpga architecture
29Suresh Srinivasan, Krishnan Ramakrishnan, Prasanth Mangalagiri, Yuan Xie 0001, Vijaykrishnan Narayanan, Mary Jane Irwin, Karthik Sarpatwari Toward Increasing FPGA Lifetime. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Reliability, Reconfigurable hardware, availability and serviceability
29Jorge Guajardo, Sandeep S. Kumar, Geert Jan Schrijen, Pim Tuyls FPGA Intrinsic PUFs and Their Use for IP Protection. Search on Bibsonomy CHES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Ling Zhuo, Viktor K. Prasanna Scalable Hybrid Designs for Linear Algebra on Reconfigurable Computing Systems. Search on Bibsonomy ICPADS (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
29Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A leakage-aware CAD flow for MTCMOS FPGA architectures (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration technology for field programmable gate arrays. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Zhibin Dai, Dilip K. Banerji Routability Prediction for Field Programmable Gate Arrays with a Routing Hierarchy. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Arifur Rahman, Shamik Das, Anantha P. Chandrakasan, Rafael Reif Wiring requirement and three-dimensional integration of field-programmable gate arrays. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF 3-D integrated circuits, FPGA, system-level modeling, wire-length
29Carl Ebeling, Larry McMurchie, Scott Hauck, Steven M. Burns Placement and routing tools for the Triptych FPGA. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Tomoo Inoue, Hideo Fujiwara, Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto Universal test complexity of field-programmable gate arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF universal test complexity, arbitrary logic circuits, sequential loading, random access loading, programming schemes, block-sliced loading, configuration memory cells, field programmable gate arrays, field-programmable gate array, computational complexity, fault diagnosis, logic testing, design for testability, fault model, logic CAD, table lookup, look-up tables, automatic test software, C-testable
27Gregory Lucas, Chen Dong 0003, Deming Chen Variation-aware placement for FPGAs with multi-cycle statistical timing analysis. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multi-cycle, variation-aware, fpga, placement, ssta, statistical static timing analysis
27Mike Brugge, Mohammed A. S. Khalid Design and evaluation of a parameterizable NoC router for FPGAs (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fpga, architecture, system-on-chip, network-on-chip, design space exploration, router
27Justin S. J. Wong, N. Pete Sedcole, Peter Y. K. Cheung Self-Measurement of Combinatorial Circuit Delays in FPGAs. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, Testing, configuration, delay measurement
27Jason Agron Domain-Specific Language for HW/SW Co-design for FPGAs. Search on Bibsonomy DSL The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Andrei Hagiescu, Weng-Fai Wong, David F. Bacon, Rodric M. Rabbah A computing origami: folding streams in FPGAs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGA, streaming, throughput, latency
27Junqing Sun, Gregory D. Peterson, Olaf O. Storaasli High-Performance Mixed-Precision Linear Solver for FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Boyan Valtchanov, Alain Aubert, Florent Bernard, Viktor Fischer Modeling and observing the jitter in ring oscillators implemented in FPGAs. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michael T. Frederick, Arun K. Somani Beyond the arithmetic constraint: depth-optimal mapping of logic chains in LUT-based FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF carry chain, depth optimal mapping, logic chain
27Michael Pellauer, Muralidaran Vijayaraghavan, Michael Adler, Arvind, Joel S. Emer A-Ports: an efficient abstraction for cycle-accurate performance models on FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF simulation, FPGA, prototyping, performance models, emulation
27Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne Improving Synthesis of Compressor Trees on FPGAs via Integer Linear Programming. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Maurizio Tranchero, Leonardo Maria Reyneri Implementation of Self-Timed Circuits onto FPGAs Using Commercial Tools. Search on Bibsonomy DSD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hadi Parandeh-Afshar, Philip Brisk, Paolo Ienne Efficient synthesis of compressor trees on FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Justin S. J. Wong, Peter Y. K. Cheung, N. Pete Sedcole Combating process variation on FPGAS with a precise at-speed delay measurement method. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Edward A. Stott, N. Pete Sedcole, Peter Y. K. Cheung Fault tolerant methods for reliability in FPGAs. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Michail Maniatakos, Songhua Xu, Willard L. Miranker Constraint-Based Placement and Routing for FPGAs Using Self-Organizing Maps. Search on Bibsonomy ICTAI (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Ian Kuon, Jonathan Rose Measuring the Gap Between FPGAs and ASICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Julien Lamoureux, Steven J. E. Wilton Clock-Aware Placement for FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Chi Wai Yu VPH - A Tool for Exploring Hybrid FPGAs. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Hamid R. Zarandi, Seyed Ghassem Miremadi, Costas Argyrides, Dhiraj K. Pradhan Fast SEU Detection and Correction in LUT Configuration Bits of SRAM-based FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Christos-Savvas Bouganis, Iosifina Pournara, Peter Y. K. Cheung Efficient Mapping of Dimensionality Reduction Designs onto Heterogeneous FPGAs. Search on Bibsonomy FCCM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Walid A. Najjar Compiling code accelerators for FPGAs. Search on Bibsonomy CASES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FPGA code acceleration
27Hamid R. Zarandi, Seyed Ghassem Miremadi, Dhiraj K. Pradhan, Jimson Mathew SEU-Mitigation Placement and Routing Algorithms and Their Impact in SRAM-Based FPGAs. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Premachandran R. Menon, Weifeng Xu, Russell Tessier Design-specific path delay testing in lookup-table-based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ian Kuon, Jonathan Rose Measuring the gap between FPGAs and ASICs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF area comparison, delay comparison, power comparison, FPGA, ASIC
27Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko Yield enhancements of design-specific FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design-specific FPGA, interconnect faults, interconnect utilization, yield enhancement, yield prediction, structured ASIC, FPGA interconnect
27Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yan Zhang, Jussi Roivainen, Aarne Mämmelä Clock-Gating in FPGAs: A Novel and Comparative Evaluation. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Mike Hutton, Yan Lin 0001, Lei He 0001 Placement and Timing for FPGAs Considering Variations. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Lee W. Howes, Paul Price, Oskar Mencer, Olav Beckmann FPGAs, GPUs and the PS2 - A Single Programming Methodology. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Manuel Saldaña, Lesley Shannon, Paul Chow The routability of multiprocessor network topologies in FPGAs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGA, multiprocessor, network-on-chip, topology, interconnect
27Yan Feng, Dinesh P. Mehta Heterogeneous Floorplanning for FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Viktor K. Prasanna Energy-Efficient Computations on FPGAs. Search on Bibsonomy J. Supercomput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF embedded signal processing, FPGA, energy efficiency, performance optimization, algorithm design
27Masanori Hariyama, Weisheng Chong, Sho Ogata, Michitaka Kameyama Novel Switch Block Architecture Using Non-Volatile Functional Pass-Gate for Multi-Context FPGAs. Search on Bibsonomy ISVLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Justin L. Tripp, Henning S. Mortveit, Anders A. Hansson, Maya B. Gokhale Metropolitan Road Traffic Simulation on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Yana Esteves Krasteva, Ana B. Jimeno, Eduardo de la Torre, Teresa Riesgo Straight Method for Reallocation of Complex Cores by Dynamic Reconfiguration in Virtex II FPGAs. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Matteo Sonza Reorda, Luca Sterpone, Massimo Violante Efficient Estimation of SEU Effects in SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Nak-Woong Eum, Taewhan Kim, Chong-Min Kyung CeRA: A Router for Symmetrical FPGAs Based on Exact Routing Density Evaluation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Aman Gayasen, Yuh-Fang Tsai, Narayanan Vijaykrishnan, Mahmut T. Kandemir, Mary Jane Irwin, Tim Tuan Reducing leakage energy in FPGAs using region-constrained placement. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF region-constrained placement, FPGA, leakage power
27Mark Dickinson System-Level Design Tools Can Provide Low Cost Solutions in FPGAs: TRUE or FALSE? Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Maya B. Gokhale, Paul S. Graham, Darrel Eric Johnson, Nathan Rollins, Michael J. Wirthlin Dynamic Reconfiguration for Management of Radiation-Induced Faults in FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Christian F. da Silva, Alice M. Tokarnia RECASTER: Synthesis of Fault-Tolerant Embedded Systems Based on Dynamically Reconfigurable FPGAs. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Sumit Mohanty, Viktor K. Prasanna Duty Cycle Aware Application Design using FPGAs. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Lei Cheng 0001, Martin D. F. Wong Floorplan design for multi-million gate FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Paolo Bernardi, Matteo Sonza Reorda, Luca Sterpone, Massimo Violante On the Evaluation of SEU Sensitiveness in SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
27Hyung Gyu Lee, Sungyuep Nam, Naehyuck Chang Cycle-accurate Energy Measurement and High-Level Energy Characterization of FPGAs. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
27Fernanda Lima 0001, Luigi Carro, Ricardo Augusto da Luz Reis Designing fault tolerant systems into SRAM-based FPGAs. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault-tolerance, FPGA
27Francisco Cardells-Tormo, Javier Valls-Coquillat High Performance Quadrature Digital Mixers for FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Quoc Thai Ho, Jean-Baptiste Rigaud, Laurent Fesquet, Marc Renaudin, Robin Rolland Implementing Asynchronous Circuits on LUT Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Oskar Mencer PAM-Blox II: Design and Evaluation of C++ Module Generation for Computing with FPGAs. Search on Bibsonomy FCCM The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Channakeshav, Kuan Zhou, Russell P. Kraft, John F. McDonald 0001 Gigahertz FPGAs with New Power Saving Techniques and Decoding Logic. Search on Bibsonomy Evolvable Hardware The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Uwe Meyer-Bäse, Antonio García 0001, Fred J. Taylor Implementation of a Communications Channelizer using FPGAs and RNS Arithmetic. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF field-programmable logic (FPL), complex programmable logic devices (CPLD), zero-IF filter, field programmable gate array (FPGA), digital signal processing (DSP), residue number system (RNS), channelizer
27Kenneth Yan Practical logic synthesis for CPLDs and FPGAs with PLA-style logic blocks. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Bruce A. Draper, A. P. Wim Böhm, Jeffrey Hammes, Walid A. Najjar, J. Ross Beveridge, Charlie Ross, Monica Chawathe, Mitesh Desai, José Bins Compiling SA-C Programs to FPGAs: Performance Results. Search on Bibsonomy ICVS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Rajeev Jayaraman Physical design for FPGAs. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, routing, placement, physical design
27Michel Renovell A Specific Test Methodology for Symmetric SRAM-Based FPGAs. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Jens-Peter Kaps, Christof Paar Fast DES Implementation for FPGAs and Its Application to a Universal Key-Search Machine. Search on Bibsonomy Selected Areas in Cryptography The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Scott Hauck, Matthew M. Hosler, Thomas W. Fry High-Performance Carry Chains for FPGAs. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Wei Liang Huang, Fred J. Meyer, Fabrizio Lombardi Multiple fault detection in logic resources of FPGAs. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF logic resources, AND tree, OR tree, CLB test generation, field programmable gate arrays, fault model, configurability, testability, programmability, multiple fault detection, SRAM-based FPGA
27Madhav Y. Chikodikar, Shridhar Laddha, Ashish Sirasao A Technology Mapper for Xilinx FPGAs. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
27Chun-Chao Yeh, Chun-Hsing Wu, Jie-Yong Juang Design and implementation of a multicomputer interconnection network using FPGAs. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Jason Agron, David Andrews 0001 Building heterogeneous reconfigurable systems with a hardware microkernel. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGAs, operating systems, heterogeneous architectures
26Vincenzo Rana, Srinivasan Murali, David Atienza, Marco D. Santambrogio, Luca Benini, Donatella Sciuto Minimization of the reconfiguration latency for the mapping of applications on FPGA-based systems. Search on Bibsonomy CODES+ISSS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FPGAs, reconfigurable computing, mapping algorithms
26John Sachs Beeckler, Warren J. Gross Particle graphics on reconfigurable hardware. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF FPGAs, Reconfigurable computing, particle systems, special-purpose architectures
26Chen Huang 0005, Frank Vahid Dynamic coprocessor management for FPGA-enhanced compute platforms. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF coprocessing, online algorithms., FPGAs, dynamic optimization, acceleration, runtime configuration
26Ahmad Darabiha, W. James MacLean, Jonathan Rose Reconfigurable hardware implementation of a phase-correlation stereoalgorithm. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stereo disparity estimation, Frame rate implementation, Reconfigurable hardware implementation, Field Programmable Gate Arrays (FPGAs), Phase correlation
26Tim Tuan, Sean Kao, Arifur Rahman, Satyaki Das, Steven Trimberger A 90nm low-power FPGA for battery-powered applications. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF FPGAs, field-programmable gate arrays, low-power design, programmable logic
26Attif A. Ibrahem, Hamed Elsimary, Aly E. Salama FPGA Implementation of Fast Radix 4 Division Algorithm. Search on Bibsonomy IWSOC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF fast division, radix 4 division, quotient selection, Field programmable gate arrays (FPGAs)
26Massimo Buzzoni, Dario Cardini, Roberto Gallino, Roberto Romagnese ATM Traffic Management Systems: ASIC Fast Prototyping. Search on Bibsonomy IEEE International Workshop on Rapid System Prototyping The full citation details ... 1999 DBLP  DOI  BibTeX  RDF VHDL macrocells, FPGAs, Prototyping, ATM, Traffic Management
25Crina Costea, Florent Bernard, Viktor Fischer, Robert Fouquet Analysis and Enhancement of Ring Oscillators Based Physical Unclonable Functions in FPGAs. Search on Bibsonomy ReConFig The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
25Reiner W. Hartenstein, Michael Herz, Frank Gilbert Designing for Xilinx XC6200 FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Joy Shetler, Brian Hemme, Chia Yang, Christopher Hinsz Prototyping New ILP Architectures Using FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Juri Põldre, Kalle Tammemäe, Marek Mandre Modular Exponent Realization on FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Robert Macketanz, Wolfgang Karl JVX - A Rapid Prototyping System Based on Java and FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Elena Cerro-Prada, Philip James-Roxby High Speed Low Level Image Processing on FPGAs Using Distributed Arithmetic. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Valery Sklyarov, Ricardo Sal Monteiro, Nuno Lau, Andreia Melo, Arnaldo S. R. Oliveira, Konstantin Kondratjuk Integrated Development Environment for Logic Synthesis Based on Dynamically Reconfigurable FPGAs. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 7385 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license