The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Interconnect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1965-1983 (16) 1984-1986 (17) 1987-1988 (18) 1989 (15) 1990 (21) 1991 (23) 1992 (28) 1993 (29) 1994 (36) 1995 (70) 1996 (83) 1997 (98) 1998 (108) 1999 (156) 2000 (196) 2001 (191) 2002 (284) 2003 (311) 2004 (362) 2005 (425) 2006 (457) 2007 (439) 2008 (383) 2009 (289) 2010 (159) 2011 (120) 2012 (121) 2013 (123) 2014 (104) 2015 (132) 2016 (112) 2017 (129) 2018 (97) 2019 (95) 2020 (104) 2021 (103) 2022 (233) 2023 (107) 2024 (18)
Publication types (Num. hits)
article(1890) incollection(14) inproceedings(3834) phdthesis(47) proceedings(27)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3674 occurrences of 1433 keywords

Results
Found 5812 publication records. Showing 5812 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Mike Chou, Jacob K. White 0001 Efficient reduced-order modeling for the transient simulation of three-dimensional interconnect. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Arnoldi method, SPICE-level simulation, Taylor series terms, surface-volume methods, three-dimensional interconnect, circuit analysis computing, transient analysis, integrated circuit interconnect, integrated circuit interconnections, reduced-order modeling, reduced-order models, transient simulation, series (mathematics)
39Amit Singh 0001, Malgorzata Marek-Sadowska FPGA interconnect planning. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
39Dirk Stroobandt A priori system-level interconnect prediction: Rent's rule and wire length distribution models. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
39Basab Datta, Wayne P. Burleson Analysis and mitigation of NBTI-impact on PVT variability in repeated global interconnect performance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF tunable buffer, variability, NBTI, global-interconnect
39Xiaoxia Wu, Guangyu Sun 0003, Xiangyu Dong, Reetuparna Das, Yuan Xie 0001, Chita R. Das, Jian Li 0059 Cost-driven 3D integration with interconnect layers. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF interconnect service layer, three-dimensional integrated circuit, network-on-chip
39Wenyi Feng, Sinan Kaptanoglu Designing Efficient Input Interconnect Blocks for LUT Clusters Using Counting and Entropy. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF cluster, FPGAs, entropy, interconnect, counting, PLDs, LUT
39DiaaEldin Khalil, Yehea I. Ismail A global interconnect link design for many-core microprocessors. Search on Bibsonomy IFMT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF interconnect, link, bus, repeater insertion
39Basab Datta, Wayne P. Burleson Collaborative sensing of on-chip wire temperatures using interconnect based ring oscillators. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sensor, interconnect, temperature, oscillator
39Wenyi Feng, Sinan Kaptanoglu Designing efficient input interconnect blocks for LUT clusters using counting and entropy. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF cluster, FPGAs, entropy, interconnect, counting, PLDs, LUT
39Naveen Muralimanohar, Rajeev Balasubramonian Interconnect design considerations for large NUCA caches. Search on Bibsonomy ISCA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF non-uniform cache architecture, network-on-chip, interconnect, memory hierarchies, cache models
39Partha Pratim Pande, Cristian Grecu, Michael Jones, André Ivanov, Resve A. Saleh Performance Evaluation and Design Trade-Offs for Network-on-Chip Interconnect Architectures. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF system-on-chip, Network-on-chip, interconnect architecture, MP-SoC, infrastructure IP
39Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Statistical timing analysis in sequential circuit for on-chip global interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, statistical timing analysis
39Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip inductance, shielding effect, propagation delay, interconnect modeling, gate delay
39Xiaoling Sun, A. Alimohammad, Pieter M. Trouborst Modeling of FPGA Local/Global Interconnect Resources and Derivation of Minimal Test Configurations. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF global/local interconnect testing, modeling, graph coloring, greedy algorithms, FPGA testing
39Nicholas Chia-Yuan Chang, Yao-Wen Chang, Iris Hui-Ru Jiang Formulae for Performance Optimization and Their Applications to Interconnect-Driven Floorplanning. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Interconnect-Driven Floorplanning, Performance Optimization
39Tao Lin, Lawrence T. Pileggi RC(L) interconnect sizing with second order considerations via posynomial programming. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF RC trees, VLSI design automation, central moments, posynomiality, convex programming, interconnect optimization
39Abby A. Ilumoka Efficient prediction of interconnect crosstalk using neural networks. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect crosstalk prediction, deep submicron downscaling, wirecells, modular artificial neural networks, multiparadigm prototyping system, equicoupling contours, isocouples, transconductance amplifier, neural networks, delays, delay, finite element method, neural nets, crosstalk, experimental results, circuit CAD, finite element analysis, circuit simulation, circuit simulator, integrated circuit interconnections, wafer-scale integration, wafer scale integration
39Nuno Alexandre Marques, Mattan Kamon, Jacob K. White 0001, Luís Miguel Silveira An Efficient Algorithm for Fast Parasitic Extraction and Passive Order Reduction of 3D Interconnect Models. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Passive Model Order Reduction, Modified Nodal Analysis, PEEC, Extraction, Interconnect Modeling
39Lizyamma Kurian, Daniel Brewer, Eugene John Design of a highly reconfigurable interconnect for array processors. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF reconfigurable interconnect, static-RAM programming technology, faulty elements, fault-tolerance, parallel architectures, fault tolerant computing, multiprocessor interconnection networks, network topology, reconfigurable architectures, array processors, interconnection topologies, mesh topologies
39Jung-Cheun Lien, Melvin A. Breuer An optimal scheduling algorithm for testing interconnect using boundary scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF Boundary scan, test scheduling, interconnect test
38Manuel Sellier, Jean-Michel Portal, Bertrand Borot, Steve Colquhoun, Richard Ferrant, Frédéric Boeuf, Alexis Farcy Predictive Delay Evaluation on Emerging CMOS Technologies: A Simulation Framework. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Predictive SPICE Modeling, Interconnect Resistance, Buffer Insertion, Interconnect Delay
38Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
38Jifeng Chen, Jin Sun 0006, Janet Meiling Wang Robust interconnect communication capacity algorithm by geometric programming. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF communication capacity, optimization, robust, uncertainty, process variation, geometric programming, ellipsoid
38Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton Practical Asynchronous Interconnect Network Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Andrew Labun, Karan Jagjitkumar Rapid Detailed Temperature Estimation for Highly Coupled IC Interconnect. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Md. Sajjad Rahaman, Masud H. Chowdhury Time diversity approach for intra-chip RF/wireless interconnect systems. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Valavan Manohararajah, Gordon R. Chiu, Deshanand P. Singh, Stephen Dean Brown Predicting Interconnect Delay for Physical Synthesis in a FPGA CAD Flow. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Ian O'Connor, Faress Tissafi-Drissi, Frédéric Gaffiot, Joni Dambre, Michiel De Wilde, Jan Van Campenhout, Dries Van Thourhout, Dirk Stroobandt Systematic Simulation-Based Predictive Synthesis of Integrated Optical Interconnect. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Soumya Eachempati, Narayanan Vijaykrishnan, Arthur Nieuwoudt, Yehia Massoud Impact of Process Variations on Carbon Nanotube Bundle Interconnect for Future FPGA Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Liu Yang, Sheqin Dong, Yuchun Ma, Xianlong Hong Interconnect Power Optimization Based on Timing Analysis. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Zhipeng Liu, Jinian Bian, Qiang Zhou 0001, Hui Dai Interconnect Delay and Power Optimization by Module Duplication for Integration of High Level Synthesis and Floorplan. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Vineet Agarwal, Jin Sun 0006, Alexander V. Mitev, Janet Meiling Wang Delay Uncertainty Reduction by Interconnect and Gate Splitting. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Ethiopia Nigussie, Juha Plosila, Jouni Isoaho Current Mode On-Chip Interconnect using Level-Encoded Two-Phase Dual-Rail Encoding. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Arthur Nieuwoudt, Yehia Massoud Assessing the Implications of Process Variations on Future Carbon Nanotube Bundle Interconnect Solutions. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Navneeth Kankani, Vineet Agarwal, Janet Meiling Wang A probabilistic analysis of pipelined global interconnect under process variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Krishnamoorthy Natarajan, S. J. Nagalakshmi Repeater Sizing and Insertion Length of Interconnect to Minimize the Overall Time Delay using a Truncated Fourier Series Approach. Search on Bibsonomy CCECE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Lin Zhong 0001, Niraj K. Jha Interconnect-aware low-power high-level synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Rohini Krishnan, R. I. M. P. Meijer, Durand Guillaume Energy-efficient FPGA interconnect architecture design (abstract only). Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Yan Lin 0001, Fei Li 0003, Lei He 0001 Routing track duplication with fine-grained power-gating for FPGA interconnect power reduction. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Lizheng Zhang, Yuhen Hu, Charlie Chung-Ping Chen Wave-pipelined on-chip global interconnect. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Bradley R. Quinton, Mark R. Greenstreet, Steven J. E. Wilton Asynchronous IC Interconnect Network Design and Implementation Using a Standard ASIC Flow. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Andres Teene, Bob Davis, Ruggero Castagnetti, Jeff Brown, S. Ramesh 0004 Impact of Interconnect Process Variations on Memory Performance and Design. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38John Shalf, Shoaib Kamil 0001, Leonid Oliker, David Skinner Analyzing Ultra-Scale Application Communication Requirements for a Reconfigurable Hybrid Interconnect. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Francky Catthoor, Andrea Cuomo, Grant Martin, Patrick Groeneveld, Rudy Lauwereins, Karen Maex, Patrick van de Steeg, Ron Wilson How Can System-Level Design Solve the Interconnect Technology Scaling Problem? Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38N. S. Nagaraj, Tom Bonifield, Abha Singh, Roger Griesmer, Poras T. Balsara Interconnect Modeling for Copper/Low-k Technologies. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Rohini Krishnan, José Pineda de Gyvez, Harry J. M. Veendrick Encoded-Low Swing Technique for Ultra Low Power Interconnect. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38PariVallal Kannan, Dinesh Bhatia Interconnect Estimation for FPGAs under Timing Driven Domains. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Payman Zarkesh-Ha, S. Lakshminarayann, Ken Doniger, William Loh, Peter Wright Impact of Interconnect Pattern Density Information on a 90nm Technology ASIC Design Flow. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Martijn T. Bennebroek Validation of wire length distribution models on commercial designs. Search on Bibsonomy SLIP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Ankireddy Nalamalpu, Sriram Srinivasan, Wayne P. Burleson Boosters for driving long onchip interconnects - design issues, interconnect synthesis, and comparison with repeaters. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Ian G. Harris, Russell Tessier Testing and diagnosis of interconnect faults in cluster-based FPGA architectures. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Lin Zhong 0001, Niraj K. Jha Interconnect-aware high-level synthesis for low power. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Vikas Mehrotra, Shiou Lin Sam, Duane S. Boning, Anantha P. Chandrakasan, Rakesh Vallishayee, Sani R. Nassif A methodology for modeling the effects of systematic within-die interconnect and device variation on circuit performance. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Jason Cong, Lei He 0001 Theory and algorithm of local-refinement-based optimization with application to device and interconnect sizing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Anirudh Devgan, Peter R. O'Brien Realizable reduction for RC interconnect circuits. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Lizy Kurian John, E. John A dynamically reconfigurable interconnect for array processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Wolf-Dietrich Weber, Stephen Gold, Pat Helland, Takeshi Shimizu, Thomas Wicki, Winfried W. Wilcke The Mercury Interconnect Architecture: A Cost-effective Infrastructure for High-performance Servers. Search on Bibsonomy ISCA The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Curtis L. Ratzlaff, Lawrence T. Pillage RICE: rapid interconnect circuit evaluation using AWE. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
38Ehsan Atoofian, Amirali Baniasadi, Kaveh Aasaraai Speculative supplier identification for reducing power of interconnects in snoopy cache coherence protocols. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF interconnect, power, SMP, cache coherence protocol
37Ajay Joshi, Gerald G. Lopez, Jeffrey A. Davis Design and Optimization of On-Chip Interconnects Using Wave-Pipelined Multiplexed Routing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Qi Zhu 0002, Hai Zhou 0001, Tong Jing, Xianlong Hong, Yang Yang 0040 Spanning graph-based nonrectilinear steiner tree algorithms. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
34Jeffrey A. Davis, Raguraman Venkatesan, Keith A. Bowman, James D. Meindl Gigascale integration (GSI) interconnect limits and n-tier multilevel interconnect architectural solutions (discussion session). Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Jason Cong, Mau-Chung Frank Chang, Glenn Reinman, Sai-Wang Tam Multiband RF-interconnect for reconfigurable network-on-chip communications. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fdma, morfic, rf-interconnect, network-on-chip, reconfigurable, chip multiprocessor
34Dae Hyun Kim 0004, Saibal Mukhopadhyay, Sung Kyu Lim Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wirelength distribution, rent's rule, 3d ic, tsv, interconnect prediction, through silicon via
34Prashant Saxena The scaling of interconnect buffer needs. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF interconnect, scaling, buffers, repeaters
34J. Balachandran, Steven Brebels, Geert Carchon, Maarten Kuijk, Walter De Raedt, Bart Nauwelaers, Eric Beyne Constant impedance scaling paradigm for interconnect synthesis. Search on Bibsonomy SLIP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transmission lines, interconnect optimization, global interconnects
34Tao Wan, Malgorzata Chrzanowska-Jeske Prediction of interconnect net-degree distribution based on Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF net-degree distribution, Rent's rule, interconnect prediction
34Ganapathy Parthasarathy, Malgorzata Marek-Sadowska, Arindam Mukherjee 0001, Amit Singh 0001 Interconnect complexity-aware FPGA placement using Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Rent's exponent, interconnect, placement
34Payman Zarkesh-Ha, Jeffrey A. Davis, William Loh, James D. Meindl Prediction of interconnect fan-out distribution using Rent's rule. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fan-out distribution, interconnect network prediction, Rent's rule
34Louis Scheffer, Eric Nequist Why interconnect prediction doesn't work. Search on Bibsonomy SLIP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF constructive estimation, wire load model, interconnect prediction
34Sunghoon Chun, YongJoon Kim, Sungho Kang 0001 MDSI: Signal Integrity Interconnect Fault Modeling and Testing for SoCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF RLC interconnect model, fault modeling, signal integrity, interconnect test
34Jae-sun Seo, Dennis Sylvester, David T. Blaauw, Himanshu Kaul, Ram Krishnamurthy 0001 A robust edge encoding technique for energy-efficient multi-cycle interconnect. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-cycle interconnect, interconnect, encoding, repeaters
34Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko Yield enhancements of design-specific FPGAs. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF design-specific FPGA, interconnect faults, interconnect utilization, yield enhancement, yield prediction, structured ASIC, FPGA interconnect
33Duo Ding, David Z. Pan OIL: a nano-photonics optical interconnect library for a new photonic networks-on-chip architecture. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF photonic networks-on-chip, low power, computer aided design, high performance
33Alexander V. Mitev, Michael M. Marefat, Dongsheng Ma, Janet Meiling Wang Principle hessian direction based parameter reduction for interconnect networks with process variation. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF principle Hessian directions, process variation, timing analysis
33Shih-Hsu Huang An effective low power design methodology based on interconnect prediction. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Chung-Kuan Cheng, Andrew B. Kahng, Bao Liu 0001 Interconnect implications of growth-based structural models for VLSI circuits. Search on Bibsonomy SLIP The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Paul Teehan, Guy G. Lemieux, Mark R. Greenstreet Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip serdes, fpga, reliable, network-on-chip, interconnect, programmable, wave pipelining, bit-serial, surfing
33Joseph B. Cessna, Thomas R. Bewley Honeycomb-structured computational interconnects and their scalable extension to spherical domains. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF switchless interconnect, graph theory
33Zhuo Li 0001, Charles J. Alpert, Shiyan Hu, Tuhin Muhmud, Stephen T. Quay, Paul G. Villarrubia Fast interconnect synthesis with layer assignment. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, wire sizing, layer assignment, interconnect synthesis
33Jin Guo 0001, Antonis Papanikolaou, Michele Stucchi, Kristof Croes, Zsolt Tokei, Francky Catthoor A tool flow for predicting system level timing failures due to interconnect reliability degradation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF system degradation, system level failures, interconnect reliability
33Farshad Safaei, Ahmad Khonsari, Mahmood Fathy, Mohamed Ould-Khaoua Analysis of Circuit Switching for the Torus Interconnect Networks with Hot-Spot Traffic. Search on Bibsonomy ICPP Workshops The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Hot-spot traffic, Queuing theory and Performance evaluation, Parallel computers, Interconnect networks, Torus, Circuit switching
33W. T. Cheung, N. Wong Power optimization in a repeater-inserted interconnect via geometric programming. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF optimization, interconnect, power, repeater, geometric programming
33Haibin Shen, Rongquan You, Yier Jin, Aiming Ji Interconnect Estimation for Mesh-Based Reconfigurable Computing. Search on Bibsonomy EUC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF mesh-based, probability, Interconnect, reconfigurable computing
33Yoshihiro Uchida, Sadahiro Tani, Masanori Hashimoto, Shuji Tsukiyama, Isao Shirakawa Interconnect capacitance extraction for system LCD circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF interconnect capacitance, system LCD, capacitance extraction
33Ronald P. Luijten, Cyriel Minkenberg, B. Roe Hemenway, Michael Sauer, Richard Grzybowski Viable opto-electronic HPC interconnect fabrics. Search on Bibsonomy SC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Interconnect, Switching, HPC, Optical Switching
33Chiu-Wing Sham, Evangeline F. Y. Young Congestion prediction in early stages. Search on Bibsonomy SLIP The full citation details ... 2005 DBLP  DOI  BibTeX  RDF placement, floorplanning, interconnect estimation
33Jason Cong, Yiping Fan, Zhiru Zhang Architecture-level synthesis for automatic interconnect pipelining. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect pipelining, multi-cycle communication, scheduling, high-level synthesis, register binding
33John F. Croix, D. F. Wong 0001 Blade and razor: cell and interconnect delay analysis using current-based models. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF blade, current-based model, razor, recursive convolution, timing analysis, interconnect model, cell model
33Iain Gourlay, Peter M. Dew, Karim Djemame Bulk Synchronous Parallel Computing Using a High Bandwidth Optical Interconnect. Search on Bibsonomy IPDPS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Bulk Synchronous Parallelism (BSP), performance evaluation, Parallel architecture, optical interconnect, parallel sorting
33Seongkyun Shin, Yungseon Eo, William R. Eisenstadt, Jongin Shim Analytical signal integrity verification models for inductance-dominant multi-coupled VLSI interconnects. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF TWA, signal integrity verification, delay, crosstalk, ringing, signal integrity, transmission line, glitch, VLSI interconnect, traveling-wave
33Raymond A. Wildman, Joshua I. Kramer, Daniel S. Weile, Phillip Christie Wire layer geometry optimization using stochastic wire sampling. Search on Bibsonomy SLIP The full citation details ... 2002 DBLP  DOI  BibTeX  RDF genetic algorithms, optimization, interconnect, Rent's rule
33Phillip J. Restle, Albert E. Ruehli, Steven G. Walker Multi-GHz interconnect effects in microprocessors. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF full-wave analysis, simulation, interconnect, inductance, extraction, clock distribution, circuit-tuning
33Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for Combinational Cluster Interconnect Testing at Board Level. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cluster testing, built-in self-test, BIST, boundary scan, interconnect testing
33Vinay Dabholkar, Sreejit Chakravarty Computing stress tests for interconnect defects. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF interconnect defects, reliability screens, infant mortality, gate-oxide defects, integrated circuit testing, stress tests
33Hiroyuki Michinishi, Tokumi Yokohira, Takuji Okamoto, Tomoo Inoue, Hideo Fujiwara A Test Methodology for Interconnect Structures of LUT-based FPGAs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Programmable Interconnect Structures, Cross Point Switch, Configurable Logic Block, FPGA, Test Pattern Generation
33Mongkol Raksapatcharawong, Timothy Mark Pinkston An Optical Interconnect Model for k-ary n-cube Wormhole Networks. Search on Bibsonomy IPPS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF free-space optical interconnects, optical interconnect model, performance evaluation, wormhole switching, k-ary n-cube networks
33Mustafa Celik, Andreas C. Cangellaris A general dispersive multiconductor transmission line model for interconnect simulation in SPICE. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multiconductor transmission lines, interconnect simulation, Chebyshev approximation, SPICE, transient analysis
33Wei-Kang Huang, Xiao-Tao Chen, Fabrizio Lombardi On the diagnosis of programmable interconnect systems: Theory and application. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF field programmable interconnect system, switch grid, FPIS, Xilinx 3000, field programmable gate arrays, FPGA, fault diagnosis, logic testing, diagnosis, integrated circuit interconnections
33Anirudh Devgan, Ronald A. Rohrer Efficient simulation of interconnect and mixed analog-digital circuits in ACES. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF adaptively controlled explicit simulation, mixed analog-digital circuits, analog circuit simulation, interconnect circuit simulation, AWE macromodels, nonlinear terminations, variable accuracy device models, circuit topology constraints removal, timing, circuit analysis computing, transient analysis, analogue integrated circuits, integrated circuit interconnections, mixed analogue-digital integrated circuits, ACES, transient simulation, timing simulation
Displaying result #101 - #200 of 5812 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license