The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for VLSI with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1977-1979 (17) 1980 (40) 1981 (58) 1982 (113) 1983 (132) 1984 (194) 1985 (203) 1986 (264) 1987 (183) 1988 (441) 1989 (433) 1990 (424) 1991 (597) 1992 (538) 1993 (701) 1994 (675) 1995 (744) 1996 (687) 1997 (682) 1998 (904) 1999 (1062) 2000 (737) 2001 (840) 2002 (854) 2003 (1024) 2004 (1038) 2005 (1003) 2006 (1127) 2007 (1057) 2008 (832) 2009 (619) 2010 (733) 2011 (612) 2012 (825) 2013 (720) 2014 (871) 2015 (972) 2016 (792) 2017 (683) 2018 (808) 2019 (866) 2020 (730) 2021 (640) 2022 (821) 2023 (712) 2024 (144)
Publication types (Num. hits)
article(5474) book(51) incollection(128) inproceedings(22959) phdthesis(289) proceedings(251)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15571 occurrences of 3952 keywords

Results
Found 29152 publication records. Showing 29152 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
39Tonia G. Morris, Denise M. Wilson, Stephen P. DeWeerth Analog VLSI circuits for manufacturing inspection. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analog VLSI circuits, manufacturing inspection, programmable structuring elements, oriented edge detection, high speed preprocessors, serial/parallel processing, focal-plane processing, vertical bipolar phototransistors, digital CMOS process, adaptive image threshold, 2.0 micron, computer vision, VLSI, edge detection, mathematical morphology, machine vision, manufacture, morphological operations, selective attention, massively parallel architectures, CMOS analogue integrated circuits, automatic optical inspection, focal planes, analogue processing circuits
38Pradeep Fernando, Srinivas Katkoori An Elitist Non-Dominated Sorting Based Genetic Algorithm for Simultaneous Area and Wirelength Minimization in VLSI Floorplanning. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38Qianneng Zhou, Fengchang Lai, Yongsheng Wang On-Chip Voltage Down Converter Based on Moderate Inversion for Low- Power VLSI Chips. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Chitranjan K. Singh, Sushma Honnavara Prasad, Poras T. Balsara VLSI Architecture for Matrix Inversion using Modified Gram-Schmidt based QR Decomposition. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Kiran K. Gunnam, Gwan S. Choi, Mark B. Yeary A Parallel VLSI Architecture for Layered Decoding for Array LDPC Codes. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Zahid Khan, Tughrul Arslan, John S. Thompson, Ahmet T. Erdogan Area and Power Efficient VLSI Architecture for Computing Pseudo Inverse of Channel Matrix in a MIMO Wireless System. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Dharmendra Saraswat, Ramachandra Achar, Michel S. Nakhla Circuit Compatible Macromodeling of High-Speed VLSI Modules Characterized by Scattering Parameters. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Gurpreet Shinh, Natalie Nakhla, Ramachandra Achar, Michel S. Nakhla, Ihsan Erdin Efficient and Accurate EMC Analysis of High-Frequency VLSI Subnetworks. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Naga M. Kosaraju, Murali R. Varanasi, Saraju P. Mohanty A High-Performance VLSI Architecture for Advanced Encryption Standard (AES) Algorithm. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Parthasarathi Dasgupta Revisiting VLSI Interconnects in Deep Sub-Micron: Some Open Questions. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
38Masahiro Fujita Formal Verification of C Language Based VLSI Designs. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Gautam Hazari, Madhav P. Desai, Apoorv Gupta, Supratik Chakraborty A Novel Technique Towards Eliminating the Global Clock in VLSI Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Manvendra Singh, B. S. Chauhan, N. K. Sharma VLSI Architecture of Centroid Tracking Algorithms for Video Tracker. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38Ashis Kumar Mal, Anindya Sundar Dhar Analog VLSI Architecture for Discrete Cosine Transform using Dynamic Switched Capacitors. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
38A. Vasudevan Advances in VLSI Design and Product Development Challenges. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
38Giuseppe Ascia, Vincenzo Catania, Maurizio Palesi A Framework for Design Space Exploration of Parameterized VLSI Systems. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38P. K. Datta, S. Sanyal, D. Bhattacharya Losses in Multilevel Crossover in VLSI Interconnects. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
38Alexander Worm, Holger Lamm, Norbert Wehn Vlsi Architectures For High-Speed Map Decoders. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Dilip K. Bhavsar, Rishan Tan Observability Register Architecture For Efficient Production Test And Debug Of Vlsi Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Biplab K. Sikdar, Purnabha Majumder, Parimal Pal Chaudhuri, Niloy Ganguly Design Of Multiple Attractor Gf (2p) Cellular AutomataFor Diagnosis Of Vlsi Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Sabyasachi Dey 0003, Bhargab B. Bhattacharya, Malay Kumar Kundu, Tinku Acharya A Fast Algorithm for Computing the Euler Number of an Image and its VLSI Implementation. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
38Amr G. Wassal, M. Anwarul Hasan A VLSI Architecture for ATM Algorithm-Agile Encryption. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Aamir A. Farooqui, Vojin G. Oklobdzija VLSI Implementation of Early Branch Prediction Circuits for High Performance Computing. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Lim Chu Aun, S. M. Rezaul Hasan An all Digital BiCMOS Phase Lock Loop for VLSI Processors. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38José Francisco López, Roberto Sarmiento, Antonio Núñez, Kamran Eshraghian, Stefan Lachowicz, Derek Abbott Low Power Techniques for Digital GaAs VLSI. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38Bulent Basaran, Kiran Ganesh, Raymond Y. K. Lau, Artour Levin, Miles McCoo, Srinivasan Rangarajan, Naresh Sehgal GeneSys: A Leaf-Cell Layout Synthesis System for GHz VLSI Designs. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
38José G. Delgado-Frias, Jabulani Nyathi A VLSI High-Performance Encoder with Priority Lookahead. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Priority lookahead, Dynamic circuitry, Priority encoder, Precharge circuitry, Critical path
38Azman M. Yusof, Lim Chu Aun, S. M. Rezaul Hasan 600 MHz Digitally Controlled BiCMOS Oscillator (DCO) for VLSI Signal Processing & Communication Applications. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
38Andreas G. Veneris, Ibrahim N. Hajj A Fast Algorithm for Locating and Correcting Simple Design Errors in VLSI Digital Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Fabio Ancona, Giorgio Oddone, Stefano Rovetta, Gianni Uneddu, Rodolfo Zunino VLSI Architectures for Programmable Sorting of Analog Quantities with Multiple-Chip Support. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Pinaki Mazumdar Parallel VLSI-Routing Models for Polymorphic Processors Array. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38Palash Sarkar 0001, Bimal K. Roy, Pabitra Pal Choudhury VLSI Implementation of Modulo Multiplication Using Carry Free Addition. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
38José G. Delgado-Frias, Jabulani Nyathi, Chester L. Miller, Douglas H. Summerville A VLSI Interconnection Network Router Using a D-CAM with Hidden Refresh. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Content addressable memory (CAM) Router, Hidden refresh circuitry, Parallel matching, Per-entry unique bit masking, Interconnection networks
38Hormoz Djahanshahi, Majid Ahmadi, Graham A. Jullien, William C. Miller Design and VLSI Implementation of a Unified Synapse-Neuron Architecture. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
38Nozar Tabrizi, Michael J. Liebelt, Kamran Eshraghian Delay Hazards in Complex Gate Based Speed Independent VLSI Circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF isochronic forks, speed independent circuits (SICs), Asynchronous circuits, signal transition graphs (STGs), hazards
38Paul Shipley, Sherif Sayed, Magdy A. Bayoumi A High Speed VLSI Architecture for Scaleable ATM Switches. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
38M. P. Sebastian, P. S. Nagendra Rao, Lawrence Jenkins VLSI/WSI Designs for Folded Cube-Connected Cycles Architectures. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Building block design, fault-tolerance, reliability, parallel architecture, layout, yield
38Andrew B. Kahng, Kei Masuko, Sudhakar Muddu Analytical delay models for VLSI interconnects under ramp input. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SPICE-computed delay, VLSI routing topologies layout, analytical delay models, arbitrary interconnect trees, interconnect transfer function, performance-driven synthesis, ramp input, source-sink delays, VLSI, Elmore delay, interconnect delays, VLSI interconnects, RLC interconnections
38Bjørn Olstad, Erik Steen, Arne Halaas Image filtering techniques and VLSI architectures for efficient data extraction in shell rendering. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF image filtering techniques, shell rendering, interactive data reduction, real-time data reduction, PCI based search engine, full custom VLSI chip, opacity assignment, multi-spectral voxel data, interactive inspection procedures, 3D imagery, 3D ultrasonics, 3D MRI studies, classification, VLSI, feature extraction, volume rendering, image classification, application specific integrated circuits, medical image processing, search problems, VLSI architectures, filtering theory, data reduction, digital signal processing chips, data extraction, rendering (computer graphics), biomedical NMR, image preprocessing, biomedical ultrasonics
38Antonio J. Acosta 0001, Manuel J. Bellido, Manuel Valencia-Barrero, Angel Barriga, Raúl Jiménez, José L. Huertas New CMOS VLSI linear self-timed architectures. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF semiconductor storage, CMOS VLSI linear self-timed architectures, digital signal processor circuits, self-timed techniques, synchronous VLSI circuits, FIFO memories, VLSI, asynchronous circuits, asynchronous circuits, digital signal processing chips, CMOS memory circuits, hardware resources
38Yang Sun 0001, Joseph R. Cavallaro High throughput VLSI architecture for soft-output mimo detection based on a greedy graph algorithm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mimo detection, VLSI architecture, ASIC design
38Jimson Mathew, Costas Argyrides, Abusaleh M. Jabir, Hafizur Rahaman 0001, Dhiraj K. Pradhan Single Error Correcting Finite Field Multipliers Over GF(2m). Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Galois Field Multiplier, VLSI, Cryptography, Error Correcting Codes
38Shen Li, Xianghui Wei, Takeshi Ikenaga, Satoshi Goto A VLSI architecture design of an edge based fast intra prediction mode decision algorithm for H.264/avc. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fast intra prediction mode decision, H.264, VLSI architecture
38Yukiko Kubo, Hiroshi Miyashita, Yoji Kajitani, Kazuyuki Tateishi Equidistance routing in high-speed VLSI layout design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF box routing, equidistance routing, rectilinear route, slant symmetric grid, dynamic programming, VLSI system, channel routing
38Gwenolé Corre, Eric Senn, Nathalie Julien, Eric Martin 0001 A memory aware behavioral synthesis tool for real-time VLSI circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF memory aware, behavioral synthesis, VLSI circuits
38Menahem Lowy, Neal Butler, Rosanne Tinkler Low power VLSI sequential circuit architecture using critical race control. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF critical races, low-power VLSI circuits, asynchronous circuits
38Falah R. Awwad, Mohamed Nekili Variable-segment & variable-driver parallel regeneration techniques for RLC VLSI interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF parallel regeneration, VLSI, repeater, RLC interconnect
38Biplab K. Sikdar, Kolin Paul, Gosta Pada Biswas, Parimal Pal Chaudhuri, Vamsi Boppana, Cliff Yang, Sobhan Mukherjee Theory and Application of GF(2p) Cellular Automata as On-chip Test Pattern Generator. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Extension field, BIST structure, Cellular Automata (CA), VLSI design and RTL, Finite field, DFT, Fault coverage, LFSR
38Dale E. Hocevar, Ching-Yu Hung, Dan Pickens, Sundararajan Sriram Top-Down Design Using Cycle Based Simulation: an MPEG A/V Decoder Example. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Cycle based simulation, CAD, Performance modeling, MPEG, VLSI Design, Hardware/Software Co-design, System Simulation, Top-Down
38Wen-Ben Jone, Sunil R. Das A Stochastic Method for Defect Level Analysis of Pseudorandom Testing. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF defect level analysis, differential equation, VLSI testing, pseudorandom testing
38Dilip Krishnaswamy, Michael S. Hsiao, Vikram Saxena, Elizabeth M. Rudnick, Janak H. Patel, Prithviraj Banerjee Parallel Genetic Algorithms for Simulation-Based Sequential Circuit Test Generation. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF simulation-based test generation, distributed memory MIMD machines, shared memory MIMD machines, parallel search strategies, logic testing, fault coverage, NP-complete problems, VLSI circuits, parallel genetic algorithms, sequential circuit test generation
38Chittaranjan A. Mandal, P. P. Chakrabarti 0001, Sujoy Ghose Allocation and Binding in Data Path Synthesis Using a Genetic Algorithm Approach. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Multi-port Memory, Genetic Algorithm, VLSI, Binding, Data Path Synthesis
37Haque Mohammad Munirul, Michitaka Kameyama Fine-Grain Cell Design for Multiple-Valued Reconfigurable VLSI Using a Single Differential-Pair Circuit. Search on Bibsonomy ISMVL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
37Keisuke Korekado, Takashi Morie, Osamu Nomura, Hiroshi Ando, Teppei Nakano, Masakazu Matsugu, Atsushi Iwata A Convolutional Neural Network VLSI for Image Recognition Using Merged/Mixed Analog-Digital Architecture. Search on Bibsonomy KES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
37W. A. Dees, K. M. Parmar, A. Goyal, Raymond Y. Tsui, B. D. Rathi, Robert J. Smith 0001 A computer-aided VLSI layout system. Search on Bibsonomy AFIPS National Computer Conference The full citation details ... 1981 DBLP  DOI  BibTeX  RDF
36William J. Dally, Steve Lacy VLSI Architecture: Past, Present, and Future. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
36Shantanu Dutt, Wenyong Deng Cluster-aware iterative improvement techniques for partitioning large VLSI circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI circuit partitioning, mincut, physical design/layout, Clusters, iterative-improvement
35Sheu-Chih Cheng, Hsueh-Ming Hang The Impact of Rate Control Algorithms on System-Level VLSI Design. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Jinsang Kim, Tom Chen 0001 A VLSI Architecture for Image Sequence Segmentation using Edge Fusion. Search on Bibsonomy CAMP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF image sequence segmentation, edge fusion, VLSI edge fusion architecture, segmentation, image sequences, image sequences, VLSI architecture, complexity analysis, gray level
35Chih-Wen Lu, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen Is IDDQ testing not applicable for deep submicron VLSI in year 2011? Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deep submicron VLSI, IDDQ current estimation, random process deviations, IDDQ distributions, VLSI, statistical analysis, integrated circuit testing, CMOS integrated circuits, leakage currents, IDDQ testing, statistical approach, standard deviation, input vectors, circuit size
35Bhaskar Saha, J. Sukarno Mertoguno, Nikolaos G. Bourbakis The VLSI design and implementation of the array processors of a multilayer vision system architecture. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multilayer vision system architecture, KYDON vision system, multilayered image understanding system, computer vision, parallel processing, VLSI, digital simulation, VLSI design, array processors, timing simulation
35Andreas G. Andreou, Kwabena A. Boahen A 590, 000 transistor 48, 000 pixel, contrast sensitive, edge enhancing, CMOS imager-silicon retina. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon retina, analog VLSI focal plane processor, phototransduction, local gain control, single chip system, vertebrate distal retina, ultra low power dissipation, n-well double metal double poly digital oriented CMOS technology, current-mode subthreshold CMOS, 48000 pixel, computer vision, VLSI, edge detection, CMOS integrated circuits, image sensors, contrast, edge enhancement, CMOS imager, focal planes, area efficiency, 1.2 micron
34Ajit Pal, Santanu Chattopadhyay Synthesis & Testing for Low Power. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Debasri Saha, Susmita Sur-Kolay Encoding of Floorplans through Deterministic Perturbation. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Nikil D. Dutt, Kaustav Banerjee, Luca Benini, Kanishka Lahiri, Sudeep Pasricha Tutorial 5: SoC Communication Architectures: Technology, Current Practice, Research, and Trends. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
34Se Hun Kim, Vincent John Mooney Sleepy Keeper: a New Approach to Low-leakage Power VLSI Design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Koushik K. Das, Shih-Hsien Lo, Ching-Te Chuang High Performance MTCMOS Technique for Leakage Reduction in Hybrid SOI-Epitaxial Technologies with Enhanced-Mobility PFET Header. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Lin Yuan, Gang Qu 0001, Ankur Srivastava 0001 VLSI CAD tool protection by birthmarking design solutions. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF birthmarking, CAD, protection, intellectual property
34Bharat Krishna, C. Y. Roger Chen, Naresh Sehgal A novel ultra-fast heuristic for VLSI CAD steiner trees. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF routing, steiner trees, interconnect estimation
34Rajiv V. Joshi, Kaushik Roy 0001 Design of Deep Sub-Micron CMOS Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Karanth Shankaranarayana, Soujanna Sarkar, R. Venkatraman, Shyam S. Jagini, N. Venkatesh, Jagdish C. Rao, H. Udayakumar, M. Sambandam, K. P. Sheshadri, S. Talapatra, Parag Mhatre, Jais Abraham, Rubin A. Parekhji Challenges in the Design of a Scalable Data-Acquisition and Processing System-on-Silicon. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
34Basant Rajan, R. K. Shyamasundar Modeling VHDL in Multiclock ESTEREL. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Swarup Bhunia, Soumya K. Ghosh 0001, Pramod Kumar, Partha Pratim Das, Jayanta Mukherjee 0001 Design, Simulation and Synthesis of an ASIC for Fractal Image Compression. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34C. P. Ravikumar, Ajay Mittal Hierarchical Delay Fault Simulation. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
34Ananta K. Majhi, Vishwani D. Agrawal Tutorial: Delay Fault Models and Coverage. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF delay fault models, gate delay model, line delay model, path delay model, segment delay model, transition model, Delay test
34Fan Xu, Guichang Zhong, Alan N. Willson Jr. Analysis and VLSI Realization of a Blind Beamforming Algorithm. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF blind beamforming, VLSI architecture, eigenvector, multi-processor, power method
34Warren J. Gross, Frank R. Kschischang, Ralf Koetter, P. Glenn Gulak Towards a VLSI Architecture for Interpolation-Based Soft-Decision Reed-Solomon Decoders. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Reed-Solomon decoders, Sudan's algorithm, Guruswami-Sudan algorithm, Koetter-Vardy algorithm, Hasse derivative, VLSI architectures, list decoding, polynomial interpolation, soft-decision decoding
34Adhir Upadhyay, Syed Rafay Hasan, Mohamed Nekili Optimal partitioning of globally asychronous locally synchronous processor arrays. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI, partitioning, power optimization, GALS
34Sridhar Rajagopal, Srikrishna Bhashyam, Joseph R. Cavallaro, Behnaam Aazhang Efficient VLSI Architectures for Multiuser Channel Estimation in Wireless Base-Station Receivers. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiuser channel estimation, VLSI, DSP, fixed-point, dependence graphs, W-CDMA, real-time implementation
34Francesco Gregoretti, Roberto Passerone, Leonardo Maria Reyneri, Claudio Sansoè A High Speed VLSI Architecture for Handwriting Recognition. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF image processing, parallel architectures, artificial neural networks, handwriting recognition, VLSI implementations
34Anne E. Gattiker, Wojciech Maly Current signatures [VLSI circuit testing]. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF VLSI circuit testing, current signature, passive defects, active defects, VLSI, integrated circuit testing, CMOS integrated circuits, I/sub DDQ/ testing
34Terry Lee, Ibrahim N. Hajj, Elizabeth M. Rudnick, Janak H. Patel Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF GA-based test generators, CMOS VLSI circuits, I/sub DDQ/ current testing, CMOS digital circuits, two-line bridging fault set, compact test set generation, genetic algorithms, VLSI, logic testing, integrated circuit testing, ATPG, automatic test pattern generator, automatic testing, fault location, bridging faults, CMOS digital integrated circuits, adaptive genetic algorithm
34Santanu Dutta, Wayne H. Wolf, Andrew Wolfe VLSI issues in memory-system design for video signal processors. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI issues, video signal processors, memory-system architectures, circuit-level issues, register-cache based hierarchy, general-purpose programmable microprocessors, VLSI, system architecture, utilization, memory architecture, memory architecture, video signal processing, area, cycle time, memory-system design
34Mohamed Soufi, Yvon Savaria, Bozena Kaminska On the design of at-speed testable VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF at-speed testable circuits, testable VLSI circuits, application test time, parallel vectors, stuck-at test, observability problems, probe observation point, VLSI, logic testing, integrated circuit testing, design for testability, design-for-testability, logic design, sequential circuits, sequential circuits, observability, fault coverages, integrated circuit design, integrated logic circuits, operational speed, DFT technique
34Tülin Erdim Mangir, Algirdas Avizienis Fault-Tolerant Design for VLSI: Effect of Interconnect Requirements on Yield Improvement of VLSI Designs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF VLSI fault tolerance, Interconnect area estimates, redundancy partitioning, redundancy placement, regular designs, VLSI yield improvement
34Daisuke Kobayashi, Kazuyuki Hirose How Harsh is Space?-Equations That Connect Space and Ground VLSI. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
34Parthasarathy Ranganathan A Six-Word Story on the Future of VLSI: AI-driven, Software-defined, and Uncomfortably Exciting. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
34Swaroop Ghosh Session details: Session 5B: VLSI Design + VLSI Circuits and Power Aware Design 2. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
34Saraju P. Mohanty Session details: Session 3A: VLSI Design + VLSI Circuits and Power Aware Design 1. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
34Tsung-Wei Huang Programming Systems for Parallelizing VLSI CAD and Beyond. Search on Bibsonomy VLSI-DAT The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
34Chia-Hsiang Yang Massive MIMO detection VLSI design. Search on Bibsonomy VLSI-DAT The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
34Ricardo Reis 0001, Manfred Glesner VLSI-SoC: An Enduring Tradition. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Matthias Thiele, Steve Bigalke, Jens Lienig Electromigration Analysis of VLSI Circuits Using the Finite Element Method. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Ren C. Luo World megatrend of intelligent robotics and AI: Impact on VLSI-DAT. Search on Bibsonomy VLSI-DAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Chih-Wei Lee, Hwa-Yi Tseng, Chi-Lien Kuo, Chien-Nan Jimmy Liu, Chin Hsia Layout placement optimization with isolation rings for high-voltage VLSI circuits. Search on Bibsonomy VLSI-DAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Hao Yu 0001 Energy efficient VLSI circuits for machine learning on-chip. Search on Bibsonomy VLSI-DAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Rahul Shrestha High-speed and low-power VLSI-architecture for inexact speculative adder. Search on Bibsonomy VLSI-DAT The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
34Jheng-Jhan He, Chih-Peng Fan Design and VLSI implementation of novel pre-screening and simplified sorting based K-best detection for MIMO systems. Search on Bibsonomy VLSI-DAT The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
34Dominik Auras, Uwe Deidersen, Rainer Leupers, Gerd Ascheid A Parallel MCMC-Based MIMO Detector: VLSI Design and Algorithm. Search on Bibsonomy VLSI-SoC (Selected Papers) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
34Shen-Fu Hsiao, Wen-Ling Wang, Po-Sheng Wu VLSI implementations of stereo matching using Dynamic Programming. Search on Bibsonomy VLSI-DAT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 29152 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license