The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
27Ken Ueno, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya A 300 nW, 7 ppm/degreeC CMOS voltage reference circuit based on subthreshold MOSFETs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Takao Konishi, Naohiro Hamada, Hiroshi Saito A Control Circuit Synthesis Method for Asynchronous Circuits in Bundled-Data Implementation. Search on Bibsonomy CIT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Hanif Fatemi, Shahin Nazarian, Massoud Pedram A Current-based Method for Short Circuit Power Calculation under Noisy Input Waveforms. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Baohua Wang, Pinaki Mazumder Optimization of circuit trajectories: an auxiliary network approach. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Wang Pengjun, Yu Junjun, Xu Jian Design of Clocked Transmission Gate Adiabatic Logic Circuit Based on the 3ECEAC. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Seiichi Saito A novel analysis method of bus signal transmission and a proposal for high-speed low-power bus circuit. Search on Bibsonomy ISCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Christofer Toumazou, Costas A. Makris Analog IC design automation. I. Automated circuit generation: new concepts and methods. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
27Mary L. Bailey How circuit size affects parallelism. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
27Tsutomu Sasao MACDAS: multi-level AND-OR circuit synthesis using two-variable function generators. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
27David Sheldon, Frank Vahid Don't forget memories: a case study redesigning a pattern counting ASIC circuit for FPGAs. Search on Bibsonomy CODES+ISSS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF BRAM, high-throughput design, pattern counting, redesigning circuit, FPGA, design patterns, stream, memory, ASIC
27D. Dhanasekaran, K. Boopathy Bagan Fault Tolerant Dynamic Antenna Array in Smart Antenna System Using Evolved Virtual Reconfigurable Circuit. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Virtual Reconfigurable circuit, element validation, Evolvable hardware
27Guijun Gao, Youren Wang, Jiang Cui, Rui Yao Research on Multi-objective On-Line Evolution Technology of Digital Circuit Based on FPGA Model. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF On-line Evolution, Multi-objective Evolutionary Method, FPGA Model, Digital Circuit, Evolvable Hardware
27Zvi Rosberg, Andrew Zalesky, Moshe Zukerman Packet delay in optical circuit-switched networks. Search on Bibsonomy IEEE/ACM Trans. Netw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF fixed point approximation, circuit switching, packet delay, WDM network
27Kaoru Kurosawa, Wakaha Ogata Bit-Slice Auction Circuit. Search on Bibsonomy ESORICS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF mix and match, auction, circuit, bit-slice, multiparty protocol
27Atila Alvandpour, Per Larsson-Edefors, Christer Svensson Separation and extraction of short-circuit power consumption in digital CMOS VLSI circuits. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF short-circuit current, power consumption, power estimation
27Yehea I. Ismail, Eby G. Friedman, José Luis Neves Dynamic and Short-Circuit Power of CMOS Gates Driving Lossless Transmission Lines. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Lossless Transmission Lines, VLSI, Dynamic, Power, CMOS, Inductance, Short-circuit
27Felipe Machado, Teresa Riesgo, Yago Torroja Disjoint Region Partitioning for Probabilistic Switching Activity Estimation at Register Transfer Level. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF activity estimation, CAD, VHDL, BDD, power estimation, RTL, Switching activity, circuit partition, digital circuit design
27Guoyong Shi, Weiwei Chen, C.-J. Richard Shi A Graph Reduction Approach to Symbolic Circuit Analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF symbolic analog circuit simulator, symbolic circuit analysis, recursive sign determination algorithm, binary decision diagram, graph reduction
27Minesh B. Amin, Bapiraju Vinnakota ZAMBEZI: a parallel pattern parallel fault sequential circuit fault simulator. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF ZAMBEZI, parallel pattern simulator, parallel fault simulation, sequential circuit fault simulator, multiple faults simulation, multiple vectors, parallel algorithms, VLSI, fault diagnosis, logic testing, sequential circuits, circuit analysis computing, integrated logic circuits
27Takafumi Hamano, Naofumi Takagi, Shuzo Yajima, Franco P. Preparata O(n)-depth circuit algorithm for modular exponentiation. Search on Bibsonomy IEEE Symposium on Computer Arithmetic The full citation details ... 1995 DBLP  DOI  BibTeX  RDF O(n)-depth circuit algorithm, polynomial-size combinational circuit algorithm, n-bit modular exponentiation, n-bit binary integers, square-and-multiply method, public key cryptography, combinational circuits, digital arithmetic, modular exponentiation
27Hao Tang, Hung Chang Lin A Fuzzy Membership Function Circuit Using Hysteretic Resonant Tunneling Diodes. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF switched capacitor networks, signal sampling, fuzzy membership function circuit, hysteretic resonant tunneling diodes, fuzzy logic hardware, hysteretic effect, input sampling, sampled input, intrinsic I-V characteristics, fuzzy logic, integrated logic circuits, membership function, hysteresis, resonant tunnelling diodes, resonant tunneling diodes, circuit performance
26Susmit Jha, Sumit Kumar Jha 0001 Randomization Based Probabilistic Approach to Detect Trojan Circuits. Search on Bibsonomy HASE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Volkan Kursun, Eby G. Friedman Domino logic with variable threshold voltage keeper. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
26Hakan Yalcin, Mohammad Mortazavi, Robert Palermo, Cyrus Bamji, Karem A. Sakallah, John P. Hayes Fast and accurate timing characterization using functionalinformation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Peng Gao, Trent McConaghy, Georges G. E. Gielen ISCLEs: Importance Sampled Circuit Learning Ensembles for Trustworthy Analog Circuit Topology Synthesis. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Masafumi Yamamoto, Hideaki Matsuzaki, Toshihiro Itoh, Takao Waho, T. Akeyoshi, J. Osaka Ultrahigh-Speed Circuits Using Resonant Tunneling Devices. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF HEMT, D-FF, optoelectronic circuit, ADC, resonant tunneling diode
26Qi Wang, Sarma B. K. Vrudhula Data Driven Power Optimization of Sequential Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF logic synthesis low power design sequential circuits digital circuit testing verification
25Hong Hu 0001, Zhongzhi Shi Nonlinear Complex Neural Circuits Analysis and Design by q-Value Weighted Bounded Operator. Search on Bibsonomy ISNN (1) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF neural dynamic analysis, neural circuit, fuzzy logical framework of a neural circuit, Fuzzy logic, chaos
25Bipul C. Paul, Shinobu Fujita, Masaki Okajima, Thomas Lee Prospect of ballistic CNFET in high performance applications: Modeling and analysis. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Ballistic carbon nanotube FET (CNFET), circuit compatible model, parasitic capacitance, process variability, circuit performance
25Seiji Kajihara, Kozo Kinoshita, Irith Pomeranz, Sudhakar M. Reddy A Method for Identifying Robust Dependent and Functionally Unsensitizable Paths. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF robust dependent path, local circuit analysis, logic circuit testing, functionally unsensitizable path, timing, logic circuits
25Hong Helena Zheng, Ashok Balivada, Jacob A. Abraham A novel test generation approach for parametric faults in linear analog circuits . Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF digital test software, time-domain tests, equivalent digital circuit, digital test vectors, test waveform, VLSI, test generation, integrated circuit testing, fault location, stuck-at faults, analogue integrated circuits, parametric faults, linear analog circuits, time-domain analysis, equivalent circuits, analogue processing circuits
25Mukund Sivaraman, Andrzej J. Strojwas Diagnosis of parametric path delay faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF parametric path delay faults, chip failure, fabrication process parameter values, path sensitization mechanism, path delay conditions, ISCAS'89 benchmark circuits, path segment, circuit failure, fault diagnosis, logic testing, logic testing, delays, probability, probability, statistical analysis, statistical analysis, integrated circuit testing, failure analysis, diagnosability, delay fault testing, IC testing, production testing
25Youngmin Hur, Stephen A. Szygenda Special purpose array processor for digital logic simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF special purpose array processor, digital logic simulation, large VLSI circuits, compute-intensive tasks, digital analysis, time driven array processor, massively parallel processing element, compiled event-driven technology, nominal transport delay timing analysis, delay time order, levelized circuit, massively parallel PE array, MARS accelerator, VLSI, parallel architectures, delays, timing, fault simulation, logic CAD, digital simulation, circuit analysis computing, special purpose computers, SIMD architecture, hardware cost
25José Monteiro 0001, John Rinderknecht, Srinivas Devadas, Abhijit Ghosh Optimization of combinational and sequential logic circuits for low power using precomputation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF sequential logic circuits, low power optimisation, logic optimization technique, precomputation architectures, logic synthesis methods, transmission gates, transparent latches, switching activity reduction, power dissipation reduction, VLSI, logic design, sequential circuits, combinational circuits, integrated circuit design, CMOS logic circuits, circuit optimisation, precomputation, combinational logic circuits, clock cycle
25Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation for crosstalk-induced faults: framework and computational result. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF 2-vector test generation, crosstalk-induced faults, noise effects, design effort, debugging effort, pulses, signal speedup, signal slowdown, digital combinational circuits, mixed-signal test generator, XGEN, static values, dynamic signals, signal arrival times, rise times, fall times, integrated circuit testing, automatic test pattern generation, combinational circuits, accuracy, vectors, circuit analysis computing, crosstalk, transitions, integrated logic circuits, technology scaling, SPICE simulations, gate delay, circuit performance, timing information, clock frequency
25Michael J. Liebelt, Cheng-Chew Lim A method for determining whether asynchronous circuits are self-checking. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power electronics, TSC, low noise properties, semi-modular asynchronous circuit, output stuck-at-faults, low power, fault diagnosis, logic testing, integrated circuit testing, design for testability, asynchronous circuits, testability, totally self-checking, integrated circuit noise
25Sergey Gavrilov, Alexey Glebov, Satyamurthy Pullela, S. C. Moore, Abhijit Dharchoudhury, Rajendran Panda, Gopalakrishnan Vijayan, David T. Blaauw Library-less synthesis for static CMOS combinational logic circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF library-less synthesis, resynthesized circuits, size-wise CMOS circuit optimization, static CMOS combinational logic circuits, structural CMOS circuit optimization, transistor level technique, CMOS logic circuits, design space, optimal design, circuit performance
25Julien Dunoyer, Nizar Abdallah, Pirouz Bazargan-Sabet A symbolic simulation approach in resolving signals' correlation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF signal resolution, signal correlation resolution, symbolic simulation approach, evaluation package, signal transition density, specification levels, first order clue, independent inputs, binary decision diagram concept, algorithms, VLSI, probability, logic CAD, digital simulation, design process, decision theory, circuit analysis computing, integrated circuit design, circuit CAD, digital circuits, subroutines, symbol manipulation, power dissipation, correlation methods, digital integrated circuits, signal probability, probabilistic approach, synthesis tools
25Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Segment delay faults: a new fault model. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF segment delay faults, delay defect, distributed defect, rising transitions, falling transitions, transition tests, nonrobust tests, VLSI, fault diagnosis, logic testing, delays, integrated circuit testing, fault model, automatic testing, circuit analysis computing, robust tests, integrated circuit modelling, production testing, spot defect, manufacturing defects
25John W. Sheppard, William R. Simpson Improving the accuracy of diagnostics provided by fault dictionaries. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF diagnostics accuracy improvement, digital circuit diagnosis, information flow model, VLSI, fault diagnosis, logic testing, integrated circuit testing, automatic testing, circuit analysis computing, digital integrated circuits, fault dictionaries, nearest neighbor classification
25Haluk Konuk, F. Joel Ferguson An unexpected factor in testing for CMOS opens: the die surface. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF surface phenomena, electric charge, CMOS opens, die surface, RC interconnect, HSPICE simulations, trapped charge, floating gates, VLSI, integrated circuit testing, CMOS integrated circuits, integrated circuit modelling, circuit model
25Hari Balachandran, D. M. H. Walker Improvement of SRAM-based failure analysis using calibrated Iddq testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF defect-bitmap dictionary, voltage testing, microprocessor cache memory, integrated circuit testing, calibration, calibration, SRAM, cache storage, failure analysis, failure analysis, IDDQ testing, current testing, defect classification, SRAM chips, integrated circuit yield, integrated circuit yield
25Sudhir K. Jhajharia, Hua Swee Wang Training diploma students on ATE-related module. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electronic equipment testing, tertiary institution, ATE-related module, diploma students, final year students, Microelectronics option, Electronics and Communication Engineering Department, Singapore Polytechnic, Singapore Polytechnic Education Model, automated test equipment, Advanced Diploma, practical training, laboratory session, training, integrated circuit testing, assessment, teaching, teaching, automatic testing, automatic test equipment, test patterns, printed circuit boards, educational courses, printed circuit testing, industry-standard, electronic engineering education
25Haifang Liao, Wayne Wei-Ming Dai Partitioning and reduction of RC interconnect networks based on scattering parameter macromodels. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF circuit reduction, scattering parameter, interconnect network, macromodel, Circuit partitioning, circuit synthesis
25Mike Chou, Jacob K. White 0001 Efficient reduced-order modeling for the transient simulation of three-dimensional interconnect. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Arnoldi method, SPICE-level simulation, Taylor series terms, surface-volume methods, three-dimensional interconnect, circuit analysis computing, transient analysis, integrated circuit interconnect, integrated circuit interconnections, reduced-order modeling, reduced-order models, transient simulation, series (mathematics)
25Alessandro Bogliolo, Maurizio Damiani, Piero Olivo, Bruno Riccò Reliability evaluation of combinational logic circuits by symbolic simulation. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF mcnc benchmark circuits, fault-tolerant combinational logic circuits, circuit functionality, fault indicators, control variables, BDD-based symbolic simulation, undetectable multiple faults, VLSI, VLSI, combinational circuits, logic CAD, digital simulation, circuit analysis computing, reliability evaluation, integrated circuit reliability
25Manjit Borah, Mary Jane Irwin, Robert Michael Owens Minimizing power consumption of static CMOS circuits by transistor sizing and input reordering. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF power consumption minimisation, static CMOS circuits, input reordering, high fan-out gates, power constrained module generator, PowerSizer, logic CAD, circuit layout CAD, CMOS logic circuits, logic circuits, minimisation, arithmetic circuits, circuit optimisation, integrated circuit layout, transistor sizing
25David B. Shu, Ching-Chung Li, J. F. Mancuso, Yung-Nien Sun A Line Extraction Method for Automated SEM Inspection of VLSI Resist. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF line extraction method, automated SEM inspection, VLSI resist, precision digital edge-line-detection method, edge contours, submicrometer width, integrated circuit fabrication, computer vision, VLSI, transforms, integrated circuit testing, computerised picture processing, automatic testing, Hough transform, inspection, circuit analysis computing, scanning electron microscopy, scanning electron microscopy
25Hailong You, Maofeng Yang, Dan Wang, Xinzhang Jia Kriging Model combined with latin hypercube sampling for surrogate modeling of analog integrated circuit performance. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Zhanyuan Jiang, Weiping Shi Circuit-wise buffer insertion and gate sizing algorithm with scalability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, gate sizing, interconnect synthesis
25Gang Jin, Lei Wang 0011, Zhiying Wang 0003, Kui Dai An Optimal Design Method for De-synchronous Circuit Based on Control Graph. Search on Bibsonomy APPT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF de-synchronous, control graph, performance evaluation, algorithm, Petri-net, asynchronous
25Arun V. Sathanur, Ritochit Chakraborty, Vikram Jandhyala Statistical analysis of RF circuits using combined circuit simulator-full wave field solver approach. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Toshihiro Katashita, Atusi Maeda, Kenji Toda, Yoshinori Yamaguchi Highly Efficient String Matching Circuit for IDS with FPGA. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Takao Tsukutani, Yasuaki Sumi, Masami Higashimura, Yutaka Fukui Current-mode universal biquad circuit using MO-OTAs and DO-CCII. Search on Bibsonomy ISCAS (2) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Hing-mo Lam, Chi-Ying Tsui High performance and low power completion detection circuit. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Lipeng Cao Circuit power estimation using pattern recognition techniques. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Faizal Arya Samman, Rhiza S. Sadjad Analog MOS circuit design for reconfigurable fuzzy logic controller. Search on Bibsonomy APCCAS (2) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Aaron Harwood, Hong Shen 0001 Batched Circuit Switched Routing for Efficient Service of Requests. Search on Bibsonomy ISPAN The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
25Lei Wang 0003, Naresh R. Shanbhag Noise-tolerant dynamic circuit design. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25M. D. Bagewadi, B. G. Fernandes, R. V. S. Subrahmanyam A novel QRDCL circuit for zero voltage switched inverter. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
25Subhrajit Bhattacharya, Sujit Dey, Franc Brglez Effects of resource sharing on circuit delay: an assignment algorithm for clock period optimization. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF resorce sharing, high-level synthesis, clock period
25Orlando Lee, Yoshiko Wakabayashi Circuit Covers in Series-Parallel Mixed Graphs. Search on Bibsonomy LATIN The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
25Hannah Honghua Yang, Martin D. F. Wong Circuit clustering for delay minimization under area and pin constraints. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Wen-Ben Jone, Christos A. Papachristou A coordinated circuit partitioning and test generation method for pseudo-exhaustive testing of VLSI circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
25Abhijit Chatterjee, Richard I. Hartley A New Simultaneous Circuit Partitioning and Chip Placement Approach Based on Simulated Annealing. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
25Imrich Chlamtac, Aura Ganz, G. Karmi Circuit switching in multi-hop lightwave networks. Search on Bibsonomy SIGCOMM The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
24Abdulhadi Shoufan, Zheng Lu 0006, Guido Rößling A platform for visualizing digital circuit synthesis with VHDL. Search on Bibsonomy ITiCSE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF digital circuit synthesis, visualization, animation, VHDL
24Kevin Zhang 0001 Circuit design in nano-scale CMOS era: opportunities & challenges. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF VLSI, CMOS, circuit
24Bin Zhang 0011 Online circuit reliability monitoring. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reliability, circuit, macromodel, online monitoring
24Yerbol Sapargaliyev, Tatiana Kalganova Unconstrained Evolution of Analogue Computational "QR" Circuit with Oscillating Length Representation. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Evolutionary hardware design, Evolutionary circuit diagnostics and testing
24Saravanan Ramamoorthy, Haibo Wang 0005, Sarma B. K. Vrudhula A Low-Power Double-Edge-Triggered Address Pointer Circuit for FIFO Memory Design. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, memory, circuit design, FIFO
24Takushi Tanaka A Logic Grammar for Circuit Analysis. Search on Bibsonomy KES (2) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Parsing Circuits, DCG, DCSG, Circuit Analysis, Logic Grammar
24Viet Hung Nguyen, M. Ben Mamoun, Tülin Atmaca, Daniel Popa, Nicolas Le Sauze, Laurent Ciavaglia Performance Evaluation of Circuit Emulation Service in a Metropolitan Optical Ring Architecture. Search on Bibsonomy ICT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Circuit Emulation Service, Metropolitan Networks, Optical Ethernet Ring, Quality of Service, Performance Evaluation, TDM
24Seokwoo Lee, Shidhartha Das, Valeria Bertacco, Todd M. Austin, David T. Blaauw, Trevor N. Mudge Circuit-aware architectural simulation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF circuit simulation, architectural simulation, high-performance simulation, computer system simulation
24Ming-Dou Ker, Chien-Hui Chuang, Kuo-Chun Hsu, Wen-Yu Lo ESD Protection Design for Mixed-Voltage I/O Circuit with Substrate-Triggered Technique in Sub-Quarter-Micron CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ESD, ESD protection circuit, substrate-triggered technique
24David Ihsin Cheng, Chih-Chang Lin, Malgorzata Marek-Sadowska Circuit partitioning with logic perturbation. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF alternative wires, circuit partitioning
24Toru Yukimatsu, Takeshi Furuhashi, Yoshiki Uchikawa A Fuzzy Expert System for Hierarchical Placement of Parts on Printed Circuit Board. Search on Bibsonomy ANNES The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fuzzy Logic, CAD, Expert System, Printed Circuit Board
24Yoichi Sasaki 0001, Kazuteru Namba, Hideo Ito Circuit and Latch Capable of Masking Soft Errors with Schmitt Trigger. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Masking circuit, Pass transistor, Schmitt trigger circuit, Soft error, Latch
24Chantal Ykman-Couvreur, Bill Lin 0001 Optimised state assignment for asynchronous circuit synthesis. Search on Bibsonomy ASYNC The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimised state assignment, asynchronous circuit synthesis, complete state coding, state graph level, asynchronous benchmarks, circuit area, logic design, encoding, asynchronous circuits, computation time, state assignment
24Fadi Y. Busaba, Parag K. Lala A graph coloring based approach for self-checking logic circuit design. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF logic circuit design, bidirectional error, residue weights assignments, output lines, errors identification, graph theory, logic testing, integrated circuit testing, logic design, error detection, error detection, automatic testing, graph coloring, fault location, integrated logic circuits, graph colouring, self-checking, residue codes, single stuck-at fault
24Issam S. Abu-Khater, Abdellatif Bellaouar, Mohamed I. Elmasry, Ran-Hong Yan Circuit/architecture for low-power high-performance 32-bit adder. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF conditional sum architecture, CPL-like logic implementation, power supply voltage, minimum size, optimized speed, 1 to 3.3 V, logic design, CMOS, integrated circuit design, adders, adder, CMOS logic circuits, circuit optimisation, 32 bit
24Goutam Debnath, Kathy Debnath, Roshan Fernando The Pentium processor-90/100, microarchitecture and low power circuit design. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIMOS integrated circuits, Pentium processor-90/100, low power circuit design, BiNMOS process, power consumption reduction, symmetric dual processing feature, multithreaded operating systems, 0.6 micron, 3.3 V, computer architecture, microarchitecture, integrated circuit design, microprocessor chips, 100 MHz
24Qin-Zhong Ye, Per-Erik Danielsson Inspection of Printed Circuit Boards by Connectivity Preserving Shrinking. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF PCB manufacture, connectivity preserving shrinking, edge irregularities, printed circuit manufacture, computer vision, computer vision, computerised pattern recognition, computerised pattern recognition, inspection, sensitivity, quality control, quality control, visual inspection, printed circuit boards, pipelined structure
24Stanley E. Lass Automated printed circuit routing with a stepping aperture. Search on Bibsonomy Commun. ACM The full citation details ... 1969 DBLP  DOI  BibTeX  RDF circuit board, printed circuit, stepping aperture, routing, interconnections, lines, computer program, vias, pins, aperture
24Souma Jinno, Shuji Kitora, Hiroshi Toki, Masayuki Abe Origin of common-mode noise in two-dimensional finite-size circuit and reduction of the noise using a symmetric three-line circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
24Kenji Murao, Tohru Kohda Switched capacitor circuit for 1/f noise generation using a power-law circuit. Search on Bibsonomy Int. J. Circuit Theory Appl. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
24Yuri L. Maistrenko, Volodymyr L. Maistrenko, Leon O. Chua Cycles of Chaotic Intervals in a Time-delayed Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24K. Sean Halle, Chai-Wah Wu, Makoto Itoh, Leon O. Chua Spread spectrum Communication through modulation of Chaos in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Tomasz Kapitaniak Targeting unstable stationary States of Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Aleksandr Nikolayevich Sharkovsky, Yuri L. Maistrenko, Philippe Deregel, Leon O. Chua Dry turbulence from a Time-delayed Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Manuel Delgado-Restituto, Arturo Rodríguez Vázquez A CMOS monolithic Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Steve Elgar, Michael Peter Kennedy Bispectral Analysis of Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Ulrich Parlitz Lyapunov exponents from Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Mario Biey, Silvano Chialina, Martin Hasler, Amedeo Premoli Piecewise-linear Analysis for Chua's Circuit family, including the Computation of Lyapunov exponents. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Krishnamurthy Murali, M. Lakshmanan 0001 Controlling of Chaos in the Driven Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Leon O. Chua, Makoto Itoh, Ljupco Kocarev, Kevin Eckert Chaos Synchronization in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Vladimir N. Belykh, Leon O. Chua A New Type of Strange Attractor Related to the Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24A. A. A. Nasser, E. E. Hosny, Mohamed I. Sobhy Maximum Dynamic Range of bifurcations of Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Erik Lindberg Modelling and simulation of Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Guanrong Chen, Xiaoning Dong Controlling Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license