The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for CMOS with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1981 (16) 1982-1983 (18) 1984 (16) 1985 (28) 1986 (46) 1987 (30) 1988 (66) 1989 (53) 1990 (81) 1991 (98) 1992 (92) 1993 (125) 1994 (196) 1995 (296) 1996 (234) 1997 (237) 1998 (330) 1999 (525) 2000 (469) 2001 (574) 2002 (615) 2003 (907) 2004 (876) 2005 (1177) 2006 (1421) 2007 (1339) 2008 (1283) 2009 (989) 2010 (859) 2011 (954) 2012 (952) 2013 (894) 2014 (952) 2015 (1005) 2016 (924) 2017 (908) 2018 (1018) 2019 (987) 2020 (767) 2021 (840) 2022 (758) 2023 (754) 2024 (163)
Publication types (Num. hits)
article(8753) book(14) data(4) incollection(34) inproceedings(15928) phdthesis(139)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 5098 occurrences of 1721 keywords

Results
Found 24872 publication records. Showing 24872 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Luiz Carlos Moreira, Wilhelmus A. M. Van Noije, Armando Ayala Pabón, Andrés Farfán-Peláez Comparison of small cross inductors and rectangular inductors designed in 0.35um CMOS technology. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cross inductors, rectangular inductors, RF CMOS
20Hussain A. Alzaher, Noman Tasadduq A CMOS low power current-mode polyphase filter. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF CMOS analog integrated circuits, low power current mode circuit, polyphase filter
20Kaushik Roy 0001 Ultra low voltage CMOS. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive supply scaling, nano-scale cmos system, ultra low voltage design, ultra-dynamic voltage scaling
20Kelin J. Kuhn CMOS scaling beyond 32nm: challenges and opportunities. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF high-k, CMOS, orientation, strain, metal-gate
20Shekhar Borkar Design perspectives on 22nm CMOS and beyond. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nano, power, CMOS, variability
20Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Subthreshold CMOS Through Output-Wired Redundancy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subthreshold CMOS, Output-wired redundancy, Yield and defect tolerance
20Bangli Liang, Tad A. Kwasniewski, Dianyong Chen A 42-Gb/s Decision Circuit in 0.13µm CMOS. Search on Bibsonomy CNSR The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS CML, Shunt peaking, Split-resistor, Optical communication
20Pablo Aguirre, Fernando Silveira CMOS op-amp power optimization in all regions of inversion using geometric programming. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, CMOS, analog, geometric programming, automatic design
20Victor Ariel Leal Sobral, Roberto Espinheira da Costa Bomfim, Robson Nunes de Lima, Ana Isabela Araújo Cunha Systematic methodology for the design of Seevinck's CMOS log-domain integrators. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS integrators, companding circuits, log-domain integrators
20Tadashi Ikeuchi, Tszshing Cheung, Hiroshi Onaka The Latest Trend of Low Power High-Speed CMOS I/O Technology for Photonic Network. Search on Bibsonomy SAINT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF High-speed input/output interfaces, low power, CMOS
20Paulo F. Butzen, Leomar S. da Rosa Jr., Erasmo J. D. Chiappetta Filho, Dionatan S. Moura, André Inácio Reis, Renato P. Ribas Simple and accurate method for fast static currentestimation in cmos complex gates with interaction ofleakage mechanisms. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage estimation, logic design, cmos gates
20Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos A process and supply variation tolerant nano-CMOS low voltage, high speed, a/d converter for system-on-chip. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF flash adc, nano-cmos, ti comparator, process variation, analog-to-digital converter, low voltage, high speed
20Wonseok Oh 0003, Kang-Yeob Park, J.-C. Choi, C. J. Kim, S. I. Lee, J. K. Moon Design of a 12-Channel 120-Gbs Optical Receiver Array in 0.18-µm CMOS Technology. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS, OPTIC, RECEIVER, LA, TIA
20Mikaël Cimino, Hervé Lapuyade, M. De Matos, Thierry Taris, Yann Deval, Jean-Baptiste Bégueret A Robust 130 nm-CMOS Built-In Current Sensor Dedicated to RF Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Analog and mixed-signal integrated circuits, Robustness, Design for testability, CMOS technology, Built-in current sensor
20Garrett S. Rose, Yuxing Yao, James M. Tour, Adam C. Cabe, Nadine Gergel-Hackett, Nabanita Majumdar, John C. Bean, Lloyd R. Harriott, Mircea R. Stan Designing CMOS/molecular memories while considering device parameter variations. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMOS, nanotechnology, molecular electronics
20Luca Benini, Carlotta Guiducci, Christian Paulus Electronic Detection of DNA Hybridization: Toward CMOS Microarrays. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CMOS microarrays, label-based DNA chip, label-free DNA chip, DNA hybridization
20Kyung Ki Kim, Yong-Bin Kim, Minsu Choi, Nohpill Park Leakage Minimization Technique for Nanoscale CMOS VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanometer CMOS, cell characterization, gate-tunneling current, input pattern generation, leakage power, subthreshold leakage current
20Hao San, Yoshitaka Jingu, Hiroki Wada, Hiroyuki Hagiwara, Akira Hayakawa, Haruo Kobayashi 0001, Masao Hotta A 2.8-V Multibit Complex Bandpass Delta-Sigma-AD Modulator in 0.18µm CMOS. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 2.8 V, bandpass delta-sigma AD modulator, second-order AD modulator, multibit switched-capacitor AD modulator, low-IF receivers, wireless communication systems, signal-to-noise-and-distortion, complex bandpass filter, dynamic matching, data-weighted averaging algorithm, 78 kHz, 28.4 mW, CMOS process, 0.18 micron
20Minoru Watanabe, Fuminori Kobayashi A 0.35um CMOS 1, 632-gate-count Zero-Overhead Dynamic Optically Reconfigurable Gate Array VLSI. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.35 micron, zero-overhead dynamic optically reconfigurable gate array VLSI, ZO-DORGA-VLSI, junction capacitance, photodiodes, load capacitance, configuration memory, CMOS process chip
20Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
20Leo Huf Campos Braga, Suzana Domingues, Milton F. Rocha, Leonardo Bruno de Sá, Fernando de Souza Campos, Filipe V. Santos, Antonio Carneiro de Mesquita Filho, Mário Vaz Silva, Jacobus W. Swart Layout techniques for radiation hardening of standard CMOS active pixel sensors. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF radiation hardeness, CMOS, active pixel sensor
20Ahmed A. Youssef RF architectures in CMOS for the emerging wireless technologies: challenges and opportunities. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF integrated mobile communication systems, wireless, CMOS, RF
20Walter J. Lancioni, Pablo A. Petrashin, Luis E. Toledo, Carlos Dualibe A 9.6 kb/s CMOS FSK modem for data transmission through power lines. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF analog CMOS, power line transceiver, switched capacitor amplifier
20Olivier Aubreton, Lew Fock Chong Lew Yan Voon, Bernard Lamalle, Guy Cathébras A new method for implementing moment functions in a CMOS retina. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Moment function, CMOS retina, Dithering
20Mikaël Cimino, Hervé Lapuyade, M. De Matos, Thierry Taris, Yann Deval, Jean-Baptiste Bégueret A Robust 130nm-CMOS Built-In Current Sensor Dedicated to RF Applications. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Analog and mixed-signal integrated circuits, Robustness, Design for testability, CMOS technology, Built-In current sensor
20Ana Isabela Araújo Cunha, Ali M. Niknejad A general domain CMOS companding integrator. Search on Bibsonomy SBCCI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS integrators, companding circuits, log-domain integrators, square-root domain integrators
20Zhiyuan Li, Fengchang Lai, Mingyan Yu Low-noise high-precision operational amplifier using vertical NPN transistor in CMOS technology. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF V-NPN transistor, deep n-well CMOS process, high-precision, input bias current cancellation, operational amplifier, low-noise
20Jyh-Shin Pan, Hao-Cheng Chen, Bing-Yu Hsieh, Hong-Ching Chen, Roger Lee, Ching-Ho Chu, Yuan-Chin Liu, Chuan Liu, Lily Huang, Chang-Long Wu, Meng-Hsueh Lin, Chun-Yiu Lin, Shang-Nien Tsai, Jenn-Ning Yang, Chang-Po Ma, Yung Cheng, Shu-Hung Chou, Hsiu-Chen Peng, Peng-Chuan Huang, Benjamin Chiu, Alex Ho A CMOS SoC for 56/18/16 CD/DVD-dual/RAM applications. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF DVD-RAM, SATA, WSR, CMOS, optical storage
20Pradip Bose Presilicon modeling: challenges in the late CMOS era. Search on Bibsonomy IEEE Micro The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Integrated microarchitectures, special purpose accelerators, scalable on-chip interconnection network, presilicon modeling, CMOS
20Edson P. Santana, N. R. Ferreira, Carlos Eduardo Trabuco Dórea, Ana Isabela Araújo Cunha On the adequate transistor modeling for optimal design of CMOS OTA. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ACM model, CMOS integrated circuits, operational transconductance amplifiers
20Douglas Mercer A low power current steering digital to analog converter in 0.18 Micron CMOS. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CMOS, DAC, converter, current mode
20Xinhua Chen, Qiuting Huang A 9.5mW 4GHz WCDMA frequency synthesizer in 0.13µm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF low power, CMOS, WCDMA, phase-locked loop, frequency synthesizer
20Saurabh Kumar Singh, T. K. Bhattacharyya, Ashudeb Dutta Fully Integrated CMOS Frequency Synthesizer for ZigBee Applications. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF CMOS RF, ZigBee, Phase locked loop, Analog integrated circuits, Frequency synthesizer
20Arun Natarajan 0001, Abbas Komijani, Ali Hajimiri A 24 GHz phased-array transmitter in 0.18µm CMOS. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF 24GHz, phased-array, wireless, CMOS, IC, transmitters
20Luiz Alberto Pasini Melek, Márcio C. Schneider, Carlos Galup-Montoro Body-bias compensation technique for SubThreshold CMOS static logic gates. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF body-bias compensation, static logic, low-power, CMOS, logic circuits, subthreshold
20Virgínia Helena Varotto Baroncini, Oscar da Costa Gouveia-Filho Design of RF CMOS low noise amplifiers using a current based MOSFET model. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF noise, CMOS, RF, LNA
20Maurice Meijer, Francesco Pessolano, José Pineda de Gyvez Technology exploration for adaptive power and frequency scaling in 90nm CMOS. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF adaptive body bias, low power, CMOS, performance optimization, leakage, adaptive voltage scaling
20H. C. Srinivasaiah, Navakanta Bhat Response Surface Modeling of 100nm CMOS Process Technology using Design of Experiment. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Disposable spacer, Transmission of moments technique, Plackett-Burman design, Sensitivity analysis, Statistical modeling, CMOS technology, Response surface methodology, Monte carlo analysis
20Jonghae Kim, Jean-Olivier Plouchart, Noah Zamdmer, Melanie Sherony, Yue Tan, Meeyoung Yoon, Robert Trzcinski, Mohamed Talbi, John Safran, Asit Ray, Lawrence F. Wagner A power-optimized widely-tunable 5-GHz monolithic VCO in a digital SOI CMOS technology on high resistivity substrate. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SOI CMOS, high resistivity substrate, phase NoiseFOM, low power, VCO, RF design
20Jiwei Chen, Bingxue Shi New approach to CMOS current reference with very low temperature coefficient. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF temperature coefficient, CMOS, current reference
20Jiwei Chen, Bingxue Shi Circuit design of a wide tuning range CMOS VCO with automatic amplitude control. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF automatic amplitude control, tuning range, CMOS, VCO
20Carl De Ranter, Michiel Steyaert Design techniques for low power high bandwidth upconversion in CMOS. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF upconversion, low power, CMOS, analog, oscillators, RF design
20Yoshinobu Higami, Shin-ya Kobayashi, Yuzo Takamatsu Modifying Test Vectors for Reducing Power Dissipation in CMOS Circuits. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Test generation, Fault simulation, Power dissipation, CMOS circuit
20Michiel Steyaert, Peter J. Vancorenland CMOS: a paradigm for low power wireless? Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-power, wireless, CMOS, receivers
20Avaneendra Gupta, John P. Hayes CLIP: integer-programming-based optimal layout synthesis of 2D CMOS cells. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS networks, circuit clustering, diffusion sharing, leaf cell synthesis, transistor chains, two-dimensional layout, integer programming, integer linear programming, layout optimization, module generation
20Francesco Svelto, Stefano Deantoni, Giampiero Montagna, Rinaldo Castello An 8mA, 3.8dB NF, 40dB gain CMOS front-end for GPS applications. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF circuit-analog, low-power dissipation, design, communication, low-power design, CMOS, performances trade-off
20Carl James Debono, Franco Maloberti, Joseph Micallef A low-voltage CMOS multiplier for RF applications (poster session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF CMOS, low-voltage, RF, analog multiplier
20Alain-Serge Porret, Thierry Melly, Eric A. Vittoz, Christian C. Enz Tradeoffs and design of an ultra low power UHF transceiver integrated in a standard digital CMOS process. Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power, CMOS, low-voltage, RF, transceiver
20José Antonio Sainz, R. Muñoz, J. A. Maiz, L. A. Aguado, Miquel Roca 0001 A Crosstalk Sensor Implementation for Measuring Interferences in Digital CMOS VLSI Circuits. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VLSI, Sensor, CMOS, Crosstalk, Digital
20V. S. Gierenz, Oliver Weiss, Tobias G. Noll, I. Carew, Jonathan J. Ashley, Razmik Karabed A 550 Mb/s Radix-4 Bit-level Pipelined 16-State 0.25-mu m CMOS Viterbi Decoder. Search on Bibsonomy ASAP The full citation details ... 2000 DBLP  DOI  BibTeX  RDF disk-drive read channels, high-speed Viterbi decoder, radix-4 add-compare-select, bit-level pipelining, full-custom macros, datapath generator assisted design, 0.25 micron, 550 MHz, CMOS technology, redundant number representations
20Takayasu Sakurai Reducing Power Consumption of CMOS VLSI's through VDD and VTH Control. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF VDD, VTH, VLSI, Low-power, CMOS
20Bedabrata Pain, Guang Yang 0003, Monico Ortiz, Kenneth McCarty, Julie Heynssens, Bruce Hancock, Thomas Cunningham, Chris Wrigley, Charlie Ho A Single-Chip Programmable Digital CMOS Imager with Enhanced Low-Light Detection Capability. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low-power VLSI, camera-on-a-chip, digital imager, CMOS imager, APS, active pixel sensor
20Rong Lin, Kevin E. Kerr, André S. Botha A Novel Approach for CMOS Parallel Counter Design. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel counter and compressor, low power high speed CMOS circuit design, VLSI design, Arithmetic circuit, partial product reduction
20Eugenio García-Moreno, Benjamín Iñíguez, Miquel Roca 0001, Jaume Segura 0001, Eugeni Isern 0001 Clocked Dosimeter Compatible with Digital CMOS Technology. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF radiation dosimeter, radiation effects, digital circuits, CMOS technology
20Labros Bisdounis, Odysseas G. Koufopavlou, Constantinos E. Goutis, Spiridon Nikolaidis 0001 Switching Response Modeling of the CMOS Inverter for Sub-micron Devices. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF CMOS circuits timing analysis, Propagation delay modeling, Sub-micron devices
20Dhamin Al-Khalili, Saman Adham, Côme Rozon, Moazzem Hossain, Douglas Racz Comprehensive Defect Analysis and Defect Coverage of CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF CMOS Defect Modeling, Defect Analysis
20Jacob J. Rael, Ahmadreza Rofougaran, Asad A. Abidi Design Methodology Used in a Single-Chip CMOS 900 MHz Spread-Spectrum Wireless Transceiver. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transceiver, spread spectrum communication, RF CMOS, digital radio, ISM frequency band
20Jean-Paul Theis, Harald Schlimper Ultrafast compact CMOS dividers. Search on Bibsonomy ICPADS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CMOS dividers, ultrafast, restoring division, floating-point division, 0.3 mum, parallelization, timing, layout, compact, dividing circuits, divider circuits
20Rosa Rodríguez-Montañés, E. M. J. G. Bruls, Joan Figueras Bridging defects resistance in the metal layer of a CMOS process. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF resistance of the bridge, defect modelling, bridging defects, CMOS process
20Avaneendra Gupta, John P. Hayes Width minimization of two-dimensional CMOS cells using integer programming. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF leaf cell synthesis, two-dimensional layout, diffusion sharing, transistor chains, CMOS networks, Layout optimization, module generation
20Dennis J. Ciplickas, Ronald A. Rohrer Expected current distributions for CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switching current, expected waveform, mean estimation, static analysis, statistical analysis, CMOS, autocorrelation, random processes, power spectral density
20Cecilia Metra, Michele Favalli, Piero Olivo, Bruno Riccò Design of CMOS checkers with improved testability of bridging and transistor stuck-on faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS, testability, Bridging fault, self-checking circuits, checker
20Joan Figueras, Michel Renovell Current testing in dynamic CMOS circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF dynamic CMOS, test technique, integrated circuit, Current testing
20Rene David, S. Rahal, J. L. Rainard Some relationships between delay testing and stuck-open testing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF stuck-open, combinational circuits, CMOS, Delay testing, robust test
20Michael Walter Payton, Fat Duen Ho A physically-derived large-signal nonquasi-static MOSFET model for computer aided device and circuit simulation part-II the CMOS NOR gate and the CMOS NAND gate. Search on Bibsonomy ISCAS (6) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
20Shrutin Ulman Macromodel for short circuit power dissipation of submicron CMOS inverters and its application to design CMOS buffers. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20E. Shen, J. B. Kuo 0.8 V CMOS content-addressable-memory (CAM) cell circuit with a fast tag-compare capability using bulk PMOS dynamic-threshold (BP-DTMOS) technique based on standard CMOS technology for low-voltage VLSI systems. Search on Bibsonomy ISCAS (4) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Lakshmi N. Chakrapani, Pinar Korkmaz, Bilge Saglam Akgul, Krishna V. Palem Probabilistic system-on-a-chip architectures. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embedded systems, probabilistic computing
19Mohammad Tehranipoor, Reza M. Rad Fine-grained island style architecture for molecular electronic devices. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Chulwoo Kim, Ki-Wook Kim, Sung-Mo Kang Energy-efficient skewed static logic with dual Vt: design and synthesis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
19Jérôme Goy Etude, conception, et réalisation d'un capteur d'image APS en technologie standard CMOS pour des applications faible flux de type viseur d'étoiles = Study, conception and fabrication of an APS image sensor in standard CMOS technology for low light level applications such as star trackers. (Study, conception and fabrication of an APS image sensor in standard CMOS technology for low light level applications such as star trackers). Search on Bibsonomy 2002   RDF
18Chen Chen 0018, Roozbeh Parsa, Nishant Patil, Soogine Chong, Kerem Akarvardar, J. Provine, David Lewis, Jeff Watt, Roger T. Howe, H.-S. Philip Wong, Subhasish Mitra Efficient FPGAs using nanoelectromechanical relays. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS-NEM FPGA, nanoelectromechanical relay
18Ruchir Puri Will 22nm be our catch 22!: design and cad challenges. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 22nm cmos, design productivity, vlsi cad challenges, vlsi design challenges, vlsi physical design, 3d ics, automated synthesis
18Yang Liu, Ashok Kumar Srivastava, Yao Xu A switchable PLL frequency synthesizer and hot carrier effects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cmos phase-locked loop, hot carrier effects, jitter, voltage-controlled oscillator, phase noise
18Fernando da Rocha Paixão Cortes, Sergio Bampi A 40mhz 70db gain variable gain amplifier design using the gm/id design method. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Variable Gain Amplifier (VGA), cmos analog design, rf front-end, amplifier
18Emilia Sipos, Lelia Festila, Gabriel Oltean Towards Reconfigurable Circuits Based on Ternary Controlled Analog Multiplexers/Demultiplexers. Search on Bibsonomy KES (3) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Analog multiplexer, reconfigurable circuit, transmission gate, CMOS transistors, SUS-LOC
18Jaeyong Lee, Sungil Cho, Kwangsub Yoon 12bits 40mhz pipelined ADC with duty-correction circuit. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adc(analog-to-digital converter), pipeline, cmos, dll
18Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
18Zhiyu Liu, Volkan Kursun Charge Recycling Between Virtual Power and Ground Lines for Low Energy MTCMOS. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Multi-threshold voltage CMOS, gated power, gated ground, sleep switch, subthreshold leakage, charge recycling
18Hsing-Wen Wang Identification of Characteristics After Soft Breakdown with GA-Based Neural Networks. Search on Bibsonomy IEA/AIE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Low-frequency noise, soft breakdown, degraded characteristics, CMOS, evolutionary neural networks
18Mohammad H. Rahimi, Shaun Ahmadian, David Zats, Rick Baer, Deborah Estrin, Mani B. Srivastava Network of cyclops; image inference and interpretation in sensor network. Search on Bibsonomy SenSys The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sensor network, imaging, object detection, vision, power efficiency, CMOS imaging
18Mohammad H. Rahimi, Rick Baer, Obimdinachi I. Iroezi, Juan C. García, Jay Warrior, Deborah Estrin, Mani B. Srivastava Cyclops: in situ image sensing and interpretation in wireless sensor networks. Search on Bibsonomy SenSys The full citation details ... 2005 DBLP  DOI  BibTeX  RDF sensor network, imaging, vision, power efficiency, CMOS imaging
18Carlos Dualibe, Pablo A. Petrashin, Luis E. Toledo, Walter J. Lancioni New low-voltage electrically tunable triode-MOSFET transconductor and its application to low-frequency Gm-C filtering. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Gm-C filter, analog CMOS, low-frequency, transconductor, low-power, low-voltage, instrumentation amplifier
18Manmohan Krishna Chandraker, Christoph Stock, Axel Pinz Real-Time Camera Pose in a Room. Search on Bibsonomy ICVS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF CMOS camera, sparse 3D modeling, Tracking, camera pose
18Qi Wang, Sarma B. K. Vrudhula, Gary K. H. Yeap, Shantanu Ganguly Power reduction and power-delay trade-offs using logic transformations. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF CMOS logic, low power, logic synthesis, power estimation, logic optimization
18Qi Wang, Sarma B. K. Vrudhula Multi-level logic optimization for low power using local logic transformations. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Logic Optimization Digital Circuits, CMOS combinatinal circuits, Low Power, Logic Synthesis
18Jerry M. Soden, Charles F. Hawkins, Ravi K. Gulati, Weiwei Mao IDDQ testing: A review. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF IC quality, fault models, defects, Current testing, CMOS IC, I DDQ
18Josep Rius 0001, Joan Figueras Proportional BIC sensor for current testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF Built-in integrated sensor, CMOS lateral BJT, gate controlled BJT, I DDQ measure, current test
18Wei Zhang 0012, Niraj K. Jha, Li Shang A hybrid Nano/CMOS dynamically reconfigurable system - Part II: Design optimization flow. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design optimization flow, logic folding, Dynamic reconfiguration, NATURE
18Muzaffer O. Simsir, Srihari Cadambi, Franjo Ivancic, Martin Rötteler, Niraj K. Jha A hybrid nano-CMOS architecture for defect and fault tolerance. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanotechnology, Defect tolerance, nanowires
18Eduardo José Solteiro Pires, Luís Mendes, Paulo B. de Moura Oliveira, José António Tenreiro Machado, João Caldinhas Vaz, Maria João Rosário Design of Radio-Frequency Integrated CMOS Discrete Tuning Varactors Using the Particle Swarm Optimization Algorithm. Search on Bibsonomy IWANN (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automated circuit synthesis and radio-frequency integrated circuits, Particle swarm optimization, analog circuit design
18Karthik Rajagopal, Aatmesh, Vinod Menezes An enhanced topology for reliability of a high performance 3.3V I/O buffer in a single-well bulk CMOS 1.8v-oxide low voltage process. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Dhruva Ghai, Saraju P. Mohanty, Elias Kougianos Variability-aware optimization of nano-CMOS Active Pixel Sensors using design and analysis of Monte Carlo experiments. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18S. Ramasamy, B. Venkataramani, R. Niranjini, K. Suganya 100KHz-20MHz Programmable Subthreshold Gm-C Low-Pass Filter in 0.18µ-m CMOS. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18J.-C. Guo, Y.-M. Lin A Compact RF CMOS Modeling for Accurate High-Frequency Noise Simulation in Sub-100-nm MOSFETs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18James Alfred Walker, James A. Hilder, Andy M. Tyrrell Evolving Variability-Tolerant CMOS Designs. Search on Bibsonomy ICES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Wen-Chieh Wang, Chang-Ping Liao, Yi-Kai Lo, Zue-Der Huang, Fadi Riad Shahroury, Chung-Yu Wu The design of integrated 3-GHz to 11-GHz CMOS transmitter for full-band ultra-wideband (UWB) applications. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Kumar Yelamarthi, Chien-In Henry Chen Process Variation Aware Timing Optimization through Transistor Sizing in Dynamic CMOS Logic. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF binary-to-thermometer decoder, process variations, timing optimization, transistor sizing, dynamic circuits, binary adders
18S. Ramasamy, B. Venkataramani, K. Anbugeetha VLSI Implementation of a Digitally Tunable Gm-C Filter with Double CMOS Pair. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Kazuhiro Shimizu, Shinichi Hirai Realtime and Robust Motion Tracking by Matched Filter on CMOS+FPGA Vision System. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 24872 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license