The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for programmable with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1966-1974 (16) 1975-1976 (20) 1977-1978 (20) 1979-1980 (18) 1981-1982 (35) 1983 (21) 1984-1985 (39) 1986 (21) 1987 (26) 1988 (44) 1989 (48) 1990 (56) 1991 (45) 1992 (68) 1993 (80) 1994 (157) 1995 (230) 1996 (200) 1997 (242) 1998 (355) 1999 (426) 2000 (451) 2001 (468) 2002 (576) 2003 (731) 2004 (902) 2005 (933) 2006 (973) 2007 (923) 2008 (930) 2009 (724) 2010 (614) 2011 (486) 2012 (627) 2013 (674) 2014 (584) 2015 (579) 2016 (569) 2017 (592) 2018 (656) 2019 (713) 2020 (665) 2021 (627) 2022 (630) 2023 (654) 2024 (145)
Publication types (Num. hits)
article(3482) book(15) data(6) incollection(53) inproceedings(14718) phdthesis(183) proceedings(136)
Venues (Conferences, Journals, ...)
FPL(3186) FPGA(1618) FCCM(1513) FPT(1431) CoRR(335) ISCAS(296) PDeS(273) IEEE Trans. Very Large Scale I...(253) IEEE Trans. Comput. Aided Des....(188) IEEE J. Solid State Circuits(140) MSPN(136) DATE(123) DAC(122) IEEE Trans. Computers(115) VLSI Design(101) IPDPS(93) More (+10 of total 2070)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 6589 occurrences of 2604 keywords

Results
Found 18593 publication records. Showing 18593 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
22Mani B. Srivastava, Anantha P. Chandrakasan, Robert W. Brodersen Predictive system shutdown and other architectural techniques for energy efficient programmable computation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
22H. A. Xie, Kevin E. Forward, K. M. Adams, D. Leask An SBus Monitor Board. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Jianmin Li, Chung-Kuan Cheng Routability improvement using dynamic interconnect architecture. Search on Bibsonomy FCCM The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
22Robert P. Collins, William J. Ketelhut The impact of technological advances on programmable controller s(tutorial session). Search on Bibsonomy DAC The full citation details ... 1985 DBLP  DOI  BibTeX  RDF
22Mingjie Lin, Yaling Ma Scalable architecture for programmable quantum gate array (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF reconfigurable, computing, quantum
22Yee Jern Chong, Sri Parameswaran Flexible multi-mode embedded floating-point unit for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF dual-precision, embedded block, fpu, fpga, floating-point, fpga architecture
22Theepan Moorthy, Andy Ye A scalable computing and memory architecture for variable block size motion estimation on Field-Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22James W. Crouch, Hiren J. Patel, Yong C. Kim, Robert W. Bennington Creating unique identifiers on field programmable gate arrays using natural processing variations. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Ping Chen, Andy Ye The effect of sparse switch patterns on the area efficiency of multi-bit routing resources in field-programmable gate arrays. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Christophe Wolinski, Krzysztof Kuchcinski, Jürgen Teich, Frank Hannig Optimization of Routing and Reconfiguration Overhead in Programmable Processor Array Architectures. Search on Bibsonomy FCCM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Hendrik Eeckhaut, Mark Christiaens, Dirk Stroobandt Improving External Memory Access for Avalon Systems on Programmable Chips.. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Bradley R. Quinton, Steven J. E. Wilton Embedded Programmable Logic Core Enhancements for System Bus Interfaces. Search on Bibsonomy FPL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Garrett S. Rose, Mircea R. Stan A programmable majority logic array using molecular scale electronics. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22David J. Lau, Orion Pritchard Rapid System-on-a-Programmable-Chip Development and Hardware Acceleration Of ANSI C Functions. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Pedro C. Diniz, Gokul Govindu Design of a Field-Programmable Dual-Precision Floating-Point Arithmetic Unit. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Julien Lamoureux, Steven J. E. Wilton Activity Estimation for Field-Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Reid B. Porter, Jan R. Frigo, Maya B. Gokhale, Christophe Wolinski, François Charot, Charles Wagner A Programmable, Maximal Throughput Architecture for Neighborhood Image Processing. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Alex K. Jones, Raymond R. Hoare, Swapna R. Dontharaju, Shen Chih Tung, Ralph Sprang, Joshua Fazekas, James T. Cain, Marlin H. Mickle A Field Programmable RFID Tag and Associated Design Flow. Search on Bibsonomy FCCM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
22Andy Gean Ye, Jonathan Rose Using bus-based connections to improve field-programmable gate array density for implementing datapath circuits. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF datapath regularity, reconfigurable fabric, FPGA architecture, routing architecture, area efficiency
22Arifur Rahman, Vijay Polavarapuv Evaluation of low-leakage design techniques for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF FPGA, leakage power, multiplexer
22Haoyu Song 0001, Jing Lu, John W. Lockwood, James Moscola Secure Remote Control of Field-programmable Network Devices. Search on Bibsonomy FCCM The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Pak K. Chan, Martine D. F. Schlag Parallel placement for field-programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF parallel placement, FPGAs, timing-driven placement, analytical placement
22Peter Suaris, Dongsheng Wang 0012, Pei-Ning Guo, Nan-Chi Chou A physical retiming algorithm for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22John W. Lockwood, Christopher E. Neely, Christopher K. Zuver, James Moscola, Sarang Dharmapurikar, David Lim An Extensible, System-On-Programmable-Chip, Content-Aware Internet Firewall. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Andrzej Krasniewski Evaluation of Testability of Path Delay Faults for User-Configured Programmable Devices. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Aneesh Koorapaty, Lawrence T. Pileggi, Herman Schmit Heterogeneous Logic Block Architectures for Via-Patterned Programmable Fabrics. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22L. Kalyan Kumar, Amol J. Mupid, Aditya S. Ramani, V. Kamakoti 0001 Testable Clock Routing Architecture for Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Deshanand P. Singh, Stephen Dean Brown Constrained clock shifting for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Deshanand P. Singh, Stephen Dean Brown Integrated retiming and placement for field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Mihai Sima, Stamatis Vassiliadis, Sorin Cotofana, Jos T. J. van Eijndhoven, Kees A. Vissers Field-Programmable Custom Computing Machines - A Taxonomy -. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Valavan Manohararajah, Terry P. Borer, Stephen Dean Brown, Zvonko G. Vranesic Automatic Partitioning for Improved Placement and Routing in Complex Programmable Logic Devices. Search on Bibsonomy FPL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
22Deshanand P. Singh, Stephen Dean Brown The case for registered routing switches in field programmable gate arrays. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Tom Kean Secure Configuration of Field Programmable Gate Arrays. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Stephen J. Melnikoff, Steven F. Quigley, Martin J. Russell Implementing a Hidden Markov Model Speech Recognition System in Programmable Logic. Search on Bibsonomy FPL The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
22Hea Joung Kim, William H. Mangione-Smith Factoring large numbers with programmable hardware. Search on Bibsonomy FPGA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF configurable computing technologies, number factoring algorithms, sieving, special-purpose factoring hardware, public-key cryptosystems
22Paul M. Heysters, Jaap Smit, Gerard J. M. Smit, Paul J. M. Havinga Mapping of DSP Algorithms on Field Programmable Function Arrays. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Andrej Trost, Andrej Zemva, Baldomir Zajc Educational Programmable Hardware Prototyping and Verification System. Search on Bibsonomy FPL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Tyler J. Moeller, David R. Martinez Field Programmable Gate Array Based Radar Front-End Digital Signal Processing. Search on Bibsonomy FCCM The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Kerry Veenstra, Bruce Pedersen, Jay Schleicher, Chiakang Sung Optimizations for a Highly Cost-Efficient Programmable Logic Architecture. Search on Bibsonomy FPGA The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Tsutomu Maruyama, Terunobu Funatsu, Tsutomu Hoshino A Field-Programmable Gate-Array System for Evolutionary Computation. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Gordon J. Brebner Field-Programmable Logic: Catalyst for New Computing Paradigms. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
22Qiang Wang, David M. Lewis Automated field-programmable compute accelerator design using partial evaluation. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Tong Liu 0007, Wei-Kang Huang, Fabrizio Lombardi Testing of Uncustomized Segmented Channel Field Programmable Gate Arrays. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF constant testability, FPGA, testing, manufacturing
22Vijayshri Maheshwari, Joel Darnauer, John Ramirez, Wayne Wei-Ming Dai Design of FPGAs with Area I/O for Field Programmable MCM. Search on Bibsonomy FPGA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
21Ziting Wang, Cunfang Zheng Research of Image Capturing and Processing System Based on SOPC Technology. Search on Bibsonomy NCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF System on Programmable Chip, Nios II, Field Programmable Gate Arrays, Image Processing, Image Capturing
21Tsutomu Sasao, Jon T. Butler Planar Multiple-Valued Decision Diagrams. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF planar multiple-valued decision diagrams, monotone increasing functions, binary functions, field programmable gate arrays, programmable logic arrays, multivalued logic circuits, threshold logic, symmetric functions, threshold functions
21Shashidhar Thakur, D. F. Wong 0001 Simultaneous area and delay minimum K-LUT mapping for K-exact networks. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF area/delay minimum K-LUT mapping, K-exact networks, technology mapping problem, lookup table FPGAs, area minimization problem, K-bounded networks, delay minimization problem, flow-map algorithm, field programmable gate arrays, computational complexity, complexity, NP-complete, logic design, polynomial time algorithm, programmable logic arrays, table lookup, minimisation of switching nets
21Aiguo Lu, Erik L. Dagless, Jonathan M. Saul DART: delay and routability driven technology mapping for LUT based FPGAs. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF DART, delay driven technology mapping, LUT based FPGAs, two-phased approach, routability directed delay-optimal mapping, stochastic routability analysis, delay-optimal mapping, field programmable gate arrays, delays, logic design, programmable logic arrays, table lookup, minimisation of switching nets
21Yao-Wen Chang, D. F. Wong 0001, C. K. Wong FPGA global routing based on a new congestion metric. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF FPGA global routing, congestion metric, routing capacity, switch block, switch-block capacity, congestion-control metric, global router, channel densities, field programmable gate arrays, congestion control, logic design, programmable logic arrays, circuit layout CAD, graph modeling
19Viviane Lucy Santos de Souza, Victor Wanderley Costa de Medeiros, Manoel Eusébio de Lima Architecture for dense matrix multiplication on a high-performance reconfigurable system. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF BRAMs (RAM blocks), MAC (multiplier unit), RASC (reconfigurable application-specific computing), performance, FPGA (field programmable gate array), parallelism, matrix multiplication, data reuse
19XianPing Tao, Xiaoxing Ma, Jian Lu 0001, Ping Yu 0004, Yu Zhou Multi-mode interaction middleware for software services. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF open environment multi-mode, interaction Mobile Agent, interaction mode programming, programmable coordination media
19Kevin Fan, Hyunchul Park 0001, Manjunath Kudlur, Scott A. Mahlke Modulo scheduling for highly customized datapaths to increase hardware reusability. Search on Bibsonomy CGO The full citation details ... 2008 DBLP  DOI  BibTeX  RDF programmable asic, modulo scheduling, loop accelerator
19Min Xie, Youren Wang, Li Wang, Yuan Zhang Design on Operator-Based Reconfigurable Hardware Architecture and Cell Circuit. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Operator-based programmable cell circuit, FPGA, Reconfigurable computing, Reconfigurable hardware, Information processing
19Adrian Stoica, Didier Keymeulen, Ricardo Salem Zebulum, Mohammad M. Mojarradi, Srinivas Katkoori, Taher Daud Adaptive and Evolvable Analog Electronics for Space Applications. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Adaptive Hardware, Field Programmable Arrays
19Laurent Lefèvre, Paul Roe Improving the flexibility of active grids through web services. Search on Bibsonomy ACSW The full citation details ... 2006 DBLP  BibTeX  RDF active and programmable networks, web services, grid computing
19Thomas Gamer, Marcus Schöller, Roland Bless An Extensible and Flexible System for Network Anomaly Detection. Search on Bibsonomy Autonomic Networking The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Anomaly Detection, DDoS Attacks, Programmable Networks
19Linda Dailey Paulson News Briefs. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Encryption systems, Local SSL, Programmable mobile chips, Mobile processors, Mobile chips, Security, Internet, Wireless communications, Wireless communications
19Yan Lin 0001, Lei He 0001 Leakage efficient chip-level dual-Vdd assignment with time slack allocation for FPGA power reduction. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF programmable-Vdd, time slack, FPGA, low power
19Michael Brasher, Robert Haimes Rendering Planar Cuts Through Quadratic and Cubic Finite Elements. Search on Bibsonomy IEEE Visualization The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Higher Order Elements, Programmable Shaders, Cutplanes
19John W. Lockwood, Christopher E. Neely, Christopher K. Zuver, David Lim Automated tools to implement and test Internet systems in reconfigurable hardware. Search on Bibsonomy Comput. Commun. Rev. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF network intrusion detection and prevention, Internet, Field Programmable Gate Array (FPGA), networks, tools, firewall, reconfigurable hardware
19Slavek Bulach, Anton Brauchle, Hans-Jörg Pfleiderer, Zdenek Kucerovsky Design and Implementation of Discrete Event Control Systems: A Petri Net Based Hardware Approach. Search on Bibsonomy Discret. Event Dyn. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Petri nets, ASIC, DES, event-driven, programmable controller
19Anke Speer, Marcus Schöller, Thomas Fuhrmann, Martina Zitterbart Aspects of AMnet Signaling. Search on Bibsonomy NETWORKING The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Multicasting, Signaling, Programmable Networks, Active Nodes
19Danilo Tuler, Waldemar Celes Filho A High-Level Abstraction for Graphics Hardware Programming. Search on Bibsonomy SIBGRAPI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF programmable pipeline, shading language, rendering
19Kurt Keutzer, Sharad Malik, A. Richard Newton From ASIC to ASIP: The Next Design Discontinuity. Search on Bibsonomy ICCD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Programmable platforms, Design methodology, Application Specific Integrated Circuits, ASIC, Application Specific Instruction Set Processors, ASIP
19John Hale, Mauricio Papa, Oliver Bremer, Rodrigo Chandia, Sujeet Shenoi Extending Java for Package based Access Control. Search on Bibsonomy ACSAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF package based access control, programmable security, TBAC, primitive ticket, language translation preprocessor, security service library, ticket management, Java, object-oriented programming, RBAC, MAC, source code, software libraries, syntax, authorisation, program interpreters, DAC, Java language, bytecode interpretation
19Enrico Denti, Andrea Omicini Designing Multi-agent Systems around an Extensible Communication Abstraction. Search on Bibsonomy ModelAge Workshop The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Programmable Communication Abstraction, Multi-Agent Systems, Coordination Model
19Shuvra S. Bhattacharyya, Praveen K. Murthy, Edward A. Lee Optimized software synthesis for synchronous dataflow. Search on Bibsonomy ASAP The full citation details ... 1997 DBLP  DOI  BibTeX  RDF optimized software synthesis, programmable digital signal processors, off-chip memory, real-time systems, digital signal processing, synchronous dataflow, graphical programs
19Florent Bruguier, Pascal Benoit, Philippe Maurine, Lionel Torres A New Process Characterization Method for FPGAs Based on Electromagnetic Analysis. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF ElectroMagnetic Analysis (EMA), Process Characterisation, Field-Programmable Gate Arrays (FPGAs), Ring Oscillator
19Rehan Ahmed, Peter Hallschmid Modeling and Evaluation of Dynamic Partial Reconfigurable Datapaths for FPGA-Based Systems Using Stochastic Networks. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Modeling, Field-Programmable Gate Arrays, Reconfigurable Computing, Queueing Theory, Partial Reconfiguration
19Christos Kyrkou, Christos Ttofis, Theocharis Theocharides FPGA-Accelerated Object Detection Using Edge Information. Search on Bibsonomy FPL The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Field Programmable Gate Array, Object Detection
19Andreas Loos, Michael Schmidt 0004, Dietmar Fey, Jens Grobel Dynamically Programmable Image Processor for Compact Vision Systems. Search on Bibsonomy CIT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Embedded image processors, dynamically programmable ASIP
19Shijun Ji, Zhiwen Tian Research of a Programmable DC Power Supply and its Novel Protection Circuit. Search on Bibsonomy MVHI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Programmable DC Power Supply, protection circuits, switch power supply
19Jason Helge Anderson, Chirag Ravishankar FPGA power reduction by guarded evaluation. Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, field-programmable gate arrays, fpgas, low-power design, power, logic synthesis, technology mapping
19Marc-André Daigneault, Jean-Pierre David Towards 5ps resolution TDC on a dynamically reconfigurable FPGA (abstract only). Search on Bibsonomy FPGA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF time-to-digital converter, vernier method, field programmable gate array, dynamic reconfiguration
19Jeong-Ho Woo, Ju-Ho Sohn, Hyejung Kim, Hoi-Jun Yoo A Low-Power Multimedia SoC with Fully Programmable 3D Graphics for Mobile Devices. Search on Bibsonomy IEEE Computer Graphics and Applications The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Mobile multimedia SoC, mobile unified shader, low-power design, 3D graphics, programmable
19Ho Fai Ko, Nicola Nicolici Resource-Efficient Programmable Trigger Units for Post-Silicon Validation. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF programmable trigger unit, false trigger analysis, post-silicon validation
19Henrique Cota de Freitas, Philippe Olivier Alexandre Navaux On the design of reconfigurable crossbar switch for adaptable on-chip topologies in programmable NoC routers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptable topologies, programmable NoC routers, networks-on-chip, reconfigurable computing, crossbar switch
19Raphael Rubin, André DeHon Choose-your-own-adventure routing: lightweight load-time defect avoidance. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bitstream load, in-field repair, defect tolerance, alternatives, programmable interconnect
19Paul Teehan, Guy G. Lemieux, Mark R. Greenstreet Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF on-chip serdes, fpga, reliable, network-on-chip, interconnect, programmable, wave pipelining, bit-serial, surfing
19Alessandro Cevrero, Panagiotis Athanasopoulos, Hadi Parandeh-Afshar, Philip Brisk, Yusuf Leblebici, Paolo Ienne, Maurizio Skerlj 3D configuration caching for 2D FPGAs. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF field programmable gate array (fpga), reconfigurable computing, 3d integration, configuration caching
19James Kelly, Wladimir Araujo, Kallol Banerjee Rapid service creation using the JUNOS SDK. Search on Bibsonomy PRESTO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF JUNOS, internet protocol, network operating system, network services, rapid application development, programmable routers
19Stephen Longfield Jr., Mark L. Chang A Parameterized Stereo Vision Core for FPGAs. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Field programmable gate arrays, Reconfigurable computing, Stereo vision
19Suhaib A. Fahmy, Jorg Lotze, Juanjo Noguera, Linda Doyle, Robert Esser Generic Software Framework for Adaptive Applications on FPGAs. Search on Bibsonomy FCCM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, adaptive systems, dynamic reconfiguration, software defined radio
19Weiming Wang, Ligang Dong, Bin Zhuge Analysis and Implementation of an Open Programmable Router Based on Forwarding and Control Element Separation. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF open programmable, network element, architecture, protocol, computer network, router, ForCES
19Taneem Ahmed, Paul D. Kundarewich, Jason Helge Anderson, Brad L. Taylor, Rajat Aggarwal Architecture-specific packing for virtex-5 FPGAs. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF optimization, performance, FPGAs, field-programmable gate arrays, power, placement, packing
19Yizheng Zhou, Vijay Lakamraju, Israel Koren, C. M. Krishna 0001 Software-Based Failure Detection and Recovery in Programmable Network Interfaces. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Programmable Network Interface Card (NIC), Single Event Upset (SEU), radiation induced faults, failure detection, self-testing
19Scott C. Smith Design of a logic element for implementing an asynchronous FPGA. Search on Bibsonomy FPGA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NULL convention logic (NCL), asynchronous logic design, field programmable gate array (FPGA), reconfigurable logic, delay-insensitive circuits
19Yoo-Joo Choi, Young J. Kim, Myoung-Hee Kim Rapid pairwise intersection tests using programmable GPUs. Search on Bibsonomy Vis. Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Programmable shaders, Deformable body simulation, Geometric modeling, Collision detection, Computer animation
19Ian Kuon, Aaron Egier, Jonathan Rose Design, layout and verification of an FPGA using automated tools. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA, programmable logic, PLD, automatic layout
19Song Peng, David Fang, John Teifel, Rajit Manohar Automated synthesis for asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF asychronous circuits, programmable logic, automated synthesis
19Adrian Stoica, Ricardo Salem Zebulum, Didier Keymeulen, Michael I. Ferguson, Vu Duong, Xin Guo 0002 Evolvable hardware techniques for on-chip automated reconfiguration of programmable devices. Search on Bibsonomy Soft Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Reconfigurable chips, Programmable devices, Genetic algorithms, Evolvable hardware, Automated design
19Martin Koster, Jörg Haber, Hans-Peter Seidel Real-Time Rendering of Human Hair Using Programmable Graphics Hardware. Search on Bibsonomy Computer Graphics International The full citation details ... 2004 DBLP  DOI  BibTeX  RDF opacity maps, programmable graphics hardware, shadow maps, hair rendering, anisotropic reflection
19Katherine Compton, Scott Hauck Flexibility measurement of domain-specific reconfigurable hardware. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF programmable hardware, flexibility, reconfigurable hardware
19Jason Helge Anderson, Farid N. Najm, Tim Tuan Active leakage power optimization for FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF optimization, FPGAs, field-programmable gate arrays, low-power design, power, leakage
19John Teifel, Rajit Manohar Highly pipelined asynchronous FPGAs. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF concurrency, pipelining, asynchronous circuits, programmable logic, correctness by construction
19Kaoru Sugita, Takeshi Naemura, Hiroshi Harashima Performance evaluation of programmable graphics hardware for image filtering and stereo matching. Search on Bibsonomy VRST The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stereo matching, programmable graphics hardware, image filtering, real-time image processing
19Timothy J. Purcell, Craig Donner, Mike Cammarano, Henrik Wann Jensen, Pat Hanrahan Photon mapping on programmable graphics hardware. Search on Bibsonomy Graphics Hardware The full citation details ... 2003 DBLP  DOI  BibTeX  RDF global illumination, programmable graphics hardware, photon mapping
19Michael G. Wrighton, André DeHon Hardware-assisted simulated annealing with application for fast FPGA placement. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF field-programmable gate arrays, simulated annealing, placement, reconfigurable computing, design automation
19Wai-Kei Mak I/O placement for FPGAs with multiple I/O standards. Search on Bibsonomy FPGA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF I/O placement, I/O standards, field-programmable gate array, placement
19Pedro C. Diniz, Joonseok Park Data Search and Reorganization Using FPGAs: Application to Spatial Pointer-based Data Structures. Search on Bibsonomy FCCM The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Custom Computing, Data search and Data Reorganization Engines, Hardware support for Pointer Operations, Field-Programmable- Gate-Arrays (FPGAs)
Displaying result #301 - #400 of 18593 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license