The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Testability with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1972-1980 (18) 1981-1982 (19) 1983-1984 (27) 1985 (18) 1986 (28) 1987-1988 (53) 1989 (39) 1990 (62) 1991 (57) 1992 (64) 1993 (85) 1994 (76) 1995 (135) 1996 (84) 1997 (92) 1998 (95) 1999 (99) 2000 (102) 2001 (68) 2002 (91) 2003 (78) 2004 (82) 2005 (87) 2006 (75) 2007 (79) 2008 (87) 2009 (49) 2010 (42) 2011 (34) 2012 (28) 2013 (17) 2014 (28) 2015 (21) 2016 (18) 2017-2018 (35) 2019 (29) 2020 (26) 2021 (29) 2022 (25) 2023 (25) 2024 (4)
Publication types (Num. hits)
article(783) book(2) incollection(3) inproceedings(1401) phdthesis(21)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2833 occurrences of 878 keywords

Results
Found 2210 publication records. Showing 2210 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
18Lionel C. Briand, Yvan Labiche A UML-Based Approach to System Testing. Search on Bibsonomy Softw. Syst. Model. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Testing of object-oriented systems, UML, Testability, Sequence Diagrams, System testing, Use Cases
18Maisaa Khalil, Chantal Robach, Franc Novak Diagnosis Strategies for Hardware or Software Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF diagnostic strategies, diagnostic tools, system level diagnostics, testability, fault location
18Frank te Beest, Kees van Berkel 0001, Ad M. G. Peeters Adding Synchronous and LSSD Modes to Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF design for testability, asynchronous circuits, scan test, LSSD
18Sasha Novakovsky, Shy Shyman, Ziyad Hanna High capacity and automatic functional extraction tool for industrial VLSI circuit designs. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Formal Equivalence Verification (FEV), Hardware Description Languages (HDL), Switch Level Analysis, functional abstraction, satisfiability procedures, synthesis, Design For Testability (DFT), logic simulation, Binary Decision Diagrams (BDDs)
18Muhammad Nummer, Manoj Sachdev A Methodology for Testing High-Performance Circuits at Arbitrarily Low Test Frequency. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF high-performance testing, controlled-delay flip-flop, built-in self test, Delay-fault testing, design for delay testability
18Mang Li, Axel Rennoch, Ina Schieferdecker, Dorota Witaszek, O. Halabi, Alain Vouffo, Aihong Yin Experience Report on Conformance Tests for CORBA ORBs. Search on Bibsonomy APAQS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Static and dynamic analysis, CORBA, Conformance testing, Testability, Testing tools
18Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi An Approach for Detecting Multiple Faulty FPGA Logic Blocks. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, multiple faults, C-testability, PLD
18Hideo Fujiwara A New Class of Sequential Circuits with Combinational Test Generation Complexity. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF complexity, test generation, design for testability, sequential circuits, reducibility, partial scan, Balanced structure
18Satoshi Ohtake, Toshimitsu Masuzawa, Hideo Fujiwara A Non-Scan Approach to DFT for Controllers Achieving 100% Fault Efficiency. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF non-scan design for testability, complete fault efficiency, controllers, at-speed test
18Marly Roncken, Ken S. Stevens, Rajesh Pendurkar, Shai Rotem, Parimal Pal Chaudhuri CA-BIST for Asynchronous Circuits: A Case Study on the RAPPID Asynchronous Instruction Length Decoder. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF pulse logic, switch-level fault simulation, Cellular Automata, BIST, asynchronous circuits, testability, stuck-at faults, domino logic, self-timed circuits, dynamic circuits
18José Vicente Calvano, Vladimir Castro Alves, Marcelo Lubaszewski Testing a PWM circuit using functional fault models and compact test vectors for operational amplifiers. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PWM circuit, compact test vectors, analog VLSI technology, functional fault macromodels, compact test vector construction, open loop gain, CMMR, analog circuit simulation complexity, VLSI, integrated circuit testing, design for testability, automatic test pattern generation, fault modeling, fault simulation, fault simulation, operational amplifiers, operational amplifiers, test pattern, functional fault models, analogue circuits, fault dictionary, pulse width modulation, slew-rate
18Fulvio Corno, Matteo Sonza Reorda, Giovanni Squillero, Massimo Violante A genetic algorithm-based system for generating test programs for microprocessor IP cores. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF industrial property, genetic algorithm based system, test program generation, microprocessor IP cores, digital systems design trend, design-and-reuse paradigm, intellectual property cores, encrypted gate-level netlist, testability problems, automatic approach, processor cores, fault coverage figures, random approach, genetic algorithms, genetic algorithms, microprocessor chips, automatic test software, test program, electronic engineering computing
18Albrecht P. Stroele Synthesis for Arithmetic Built-In Self-Tes. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test configuration, built-in self-test, high-level synthesis, synthesis for testability, Accumulator
18Octávio Páscoa Dias, Isabel C. Teixeira, João Paulo Teixeira 0001 Metrics and Criteria for Quality Assessment of Testable Hw/Sw Systems Architectures. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF hardware/software, system testability, architecture, metrics, quality assessment
18Ilker Hamzaoglu, Janak H. Patel Reducing Test Application Time for Full Scan Embedded Cores. Search on Bibsonomy FTCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF test generation, design-for-testability, fault simulation, embedded cores, full scan
18Shiyi Xu, Tukwasibwe Justaf Frank An Evaluation of Test Generation Algorithms for combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Genetic Algorithm, Test Generation, Forecasting, Testability
18Li Shen 0002 Genetic Algorithm Based Test Generation for Sequential Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential depth analysis, dynamic testability measure, genetic algorithm, test generation, Sequential testing
18Rohit Sharma, C. P. Ravikumar Design Issues in Synthesis of Reusable Cores. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Deign Reuse, CORDIC Arithmetic and Core Testability, Embedded Cores
18Marie-Lise Flottes, R. Pires, Bruno Rouzeyre, Laurent Volpe Scanning Datapaths: A Fast and Effective Partial Scan Selection Technique. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scan chain selection, RT and gate levels, Partial scan, Synthesis for testability
18Xiaoming Yu, Yinghua Min Design of delay-verifiable combinational logic by adding extra inputs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay-verifiable combinational logic, delay testability, synthesis, combinational circuits, hardware overhead, temporal behavior
18Michinobu Nakao, Kazumi Hatayama, Isao Higashi Accelerated Test Points Selection Method for Scan-Based BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Test points, Optimization, BIST, Testability
18Cheng-Wen Wu On energy efficiency of VLSI testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test efficiency models, CMOS power consumption model, high testability, high power dissipation, high-power testing, transition activity factor, fabricated chip, testing energy, VLSI, energy efficiency, fault coverage, design optimization, VLSI testing, testing time, test efficiency, testing power
18Hiroshi Yokoyama, Xiaoqing Wen, Hideo Tamamoto Random Pattern Testable Design with Partial Circuit Duplication. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Partial circuit duplication, Random testing Built-in self test, Design for testability
18Christos A. Papachristou, Mikhail Baklashov A test synthesis technique using redundant register transfers. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF behavioral variables, conditional statements, redundant register transfers, structural signals, test synthesis technique, testability metrics, graph theory, logic testing, controllability, high level synthesis, VHDL, observability, fault coverage, data path, hardware overhead, behavioral descriptions
18Rolf Drechsler, Martin Keim, Bernd Becker 0001 Fault Simulation in Sequential Multi-Valued Logic Networks. Search on Bibsonomy ISMVL The full citation details ... 1997 DBLP  DOI  BibTeX  RDF sequential multi-valued logic networks, multi-valued logic networks, logic testing, sequential circuits, fault models, fault simulator, random pattern testability
18Vladimír Székely, Márta Rencz, Bernard Courtois Integrating on-chip temperature sensors into DfT schemes and BIST architectures. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on-chip low-power small-area CMOS temperature sensor, DfTT, design for thermal testability, safety-critical circuit, integrated circuit testing, BIST, CMOS integrated circuits
18Eduardo J. Peralías, Adoración Rueda, José L. Huertas A DFT Technique for Analog-to-Digital Converters with digital correction. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Design for Testability of Data Converters, Analog and Mixed Signal Test
18Chen-Yang Pan, Kwang-Ting Cheng, Sandeep Gupta 0001 Fault macromodeling and a testing strategy for opamps. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF catastrophic fault model, operational amplifier design for testability, analog test, macromodeling, parametric faults
18Cheng-Ping Wang, Chin-Long Wey Test Generation Of Analog Switched-Current Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switched current circuits, analog switched-current circuits, current switches, voltage switches, noncatastrophic faults, transistor switches, full testability, current copiers, stray inductance, CMOS switch, BIST design, fault model, circuit simulation, macromodel, switched-capacitor circuits, test sequence generation, catastrophic faults
18Wang-Dauh Tseng, Kuochen Wang Testable Design and Testing of MCMs Based on Multifrequency Scan. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF boundary scan architecture, multifrequency test, smart substrate, technology mixed, design for testability, VHDL, multichip module
18Ghassan Al Hayek, Yves Le Traon, Chantal Robach Considering Test Economics in the Process of Hardware/Software Partitioning. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF hardware/software testing, specifications, logic testing, estimate, testability, co-design, mutation-test, hardware/software partitioning, test economics
18Robert B. Norwood, Edward J. McCluskey Synthesis-for-scan and scan chain ordering. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis-for-scan procedure, scan chain ordering, testable circuit design, beneficial scan, VLSI, logic testing, integrated circuit testing, design for testability, logic design, sequential circuits, logic synthesis, flip-flops, integrated circuit design, integrated logic circuits, test strategy, boundary scan testing, functional specifications
18Michel Renovell, Florence Azaïs, Yves Bertrand The multi-configuration: A DFT technique for analog circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF multi-configuration technique, diagnosis facilities, 8/sup th/ order band pass filter, integrated circuit testing, design for testability, integrated circuit design, analog circuits, analogue integrated circuits, band-pass filters, DFT technique
18Debesh Kumar Das, Bhargab B. Bhattacharya Does retiming affect redundancy in sequential circuits? Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF combinational redundancy, sequential redundancy, fault diagnosis, logic testing, timing, redundancy, test generation, design for testability, sequential circuits, sequential circuit, fault, retiming, logic optimization, operation speed
18Claudio Costi, Micaela Serra, Donatella Sciuto A new DFT methodology for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design for testability, ATPG, fault coverage, scan design, test application time
18Rajesh Gupta 0003, Melvin A. Breuer Partial scan design of register-transfer level circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial scan design, I-paths, design for testability, register-transfer level designs, balanced structures, partial scan design
18Rolf Drechsler, Rolf Krieger, Bernd Becker 0001 Random Pattern Fault Simulation in Multi-Valued Circuits. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF random pattern fault simulation, multi-valued circuits, multi-valued logic networks, fault diagnosis, logic testing, integrated circuit testing, fault simulator, circuit analysis computing, multivalued logic circuits, random pattern testability
18Junya Kudoh, Toshiro Takahashi, Yukio Umada, Masaharu Kimura, Shigeru Yamamoto, Youichi Ito A CMOS gate array with dynamic-termination GTL I/O circuits. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF CMOS gate array, dynamic-termination GTL I/O circuits, triple-metal-layer process technology, push-pull output driver, dynamic termination receiver, 250 Mb/s data, stub line, terminated bus line, IDDQ testability, differential receiver, delay time overheads, 0.5 micron, 250 Mbit/s, logic testing, delays, CMOS logic circuits, logic arrays
18Ted Stanion, Carl Sechen Quasi-algebraic decompositions of switching functions. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF quasi-algebraic decompositions, algebraic product, binary Boolean operation, canonical manner, SSL testable, logic testing, testability, switching functions, switching functions, state assignment, minimisation of switching nets, benchmark circuits, circuit size
18Nilanjan Mukherjee 0001, H. Kassab, Janusz Rajski, Jerzy Tyszer Arithmetic built-in self test for high-level synthesis. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF arithmetic built-in self test, data path architectures, arithmetic blocks, compact test responses, testable circuit synthesis, logic testing, built-in self test, high level synthesis, high-level synthesis, integrated circuit testing, logic CAD, testability, abstract level, test vectors, state coverage
18Santanu Chattopadhyay, Samir Roy, Parimal Pal Chaudhuri Technology mapping on a multi-output logic module built around Cellular Automata Array for a new FPGA architecture. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multi-output logic module, cellular automata array, design turn-around time, field programmability, rapid circuit realization, logic blocks, AND-XOR based logic, library based technology mapping technique, MCNC benchmarks, field programmable gate arrays, VLSI, cellular automata, logic CAD, testability, technology mapping, multivalued logic circuits, FPGA architecture
18Enrico Macii, Angelo Raffaele Meo A test generation program for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Automatic test pattern generation for sequential circuits, interactive fault simulation, pruning heuristics, circuit partitioning, testability measures
18Bruce F. Cockburn Tutorial on semiconductor memory testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Design for testability, fault models, functional test, memory testing, memory design
18Vishwani D. Agrawal A tale of two designs: the cheapest and the most economic. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Benefit-cost trade-off, economics of design for testability, engineering economics, cost analysis
18Srimat T. Chakradhar, Suman Kanjilal, Vishwani D. Agrawal Finite state machine synthesis with fault tolerant test function. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Design for combinational test generation, finite state machine synthesis, test function embedding, synthesis for testability, fault-tolerant design
18Bernhard Eschermann State Assignment for Hardwired VLSI Control Units. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF coding constraints, VLSI, computer-aided design, synthesis, finite-state machines, logic design, sequential circuits, testability, integrated circuits, built-in tests, state assignment, control design
18Vishwani D. Agrawal, Kwang-Ting Cheng Finite state machine synthesis with embedded test function. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF VLSI, Computer-Aided Design, Test Generation, Logic Synthesis, Synthesis for Testability
15Xiaojun Ma, Jing Huang 0001, Cecilia Metra, Fabrizio Lombardi Detecting Multiple Faults in One-Dimensional Arrays of Reversible QCA Gates. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Array testing, Nanotechnology, Emerging technology, Reversible computing, QCA
15Syed M. Alam, Robert E. Jones, Scott Pozder, Ankur Jain Die/wafer stacking with reciprocal design symmetry (RDS) for mask reuse in three-dimensional (3D) integration technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
15Clemente Izurieta, James M. Bieman Testing Consequences of Grime Buildup in Object Oriented Design Patterns. Search on Bibsonomy ICST The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Software grime, decay, anti-patterns, testing, object oriented, design patterns
15Hazem El-Gendy, Nabil El-Kadhi, Narayan C. Debnath Towards sound development of PIXITP, conformance test suites, and conforming implementations for various Formal Description Techniques. Search on Bibsonomy ISCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Mukesh Agrawal, Sandip Karmakar, Dhiman Saha, Debdeep Mukhopadhyay Scan Based Side Channel Attacks on Stream Ciphers and Their Counter-Measures. Search on Bibsonomy INDOCRYPT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
15Antonio Zenteno Ramírez, Guillermo Espinosa, Víctor H. Champac Design-for-Test Techniques for Opens in Undetected Branches in CMOS Latches and Flip-Flops. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Jia Di, Parag K. Lala Cellular Array-based Delay-insensitive Asynchronous Circuits Design and Test for Nanocomputing Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Reed-Muller expression, nanoscale circuit, layout, stuck-at fault, cellular arrays, delay-insensitive circuit
15Teemu Kanstrén Towards Trace Based Model Synthesis for Program Understanding and Test Automation. Search on Bibsonomy ICSEA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Tali Kaufman, Madhu Sudan 0001 Sparse Random Linear Codes are Locally Decodable and Testable. Search on Bibsonomy FOCS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Himanshu Thapliyal, A. Prasad Vinod 0001 Designing Efficient Online Testable Reversible Adders With New Reversible Gate. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Francesco Regazzoni 0001, Thomas Eisenbarth 0001, Johann Großschädl, Luca Breveglieri, Paolo Ienne, Israel Koren, Christof Paar Power Attacks Resistance of Cryptographic S-Boxes with Added Error Detection Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Irith Pomeranz, Sudhakar M. Reddy Autoscan-Invert: An Improved Scan Design without External Scan Inputs or Outputs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Peter Wohl, John A. Waicukauski, Sanjay Patel Automated Design and Insertion of Optimal One-Hot Bus Encoders. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Matthias M. Müller The Effect of Test-Driven Development on Program Code. Search on Bibsonomy XP The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre Secure Scan Techniques: A Comparison. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Christian Borgs, Jennifer T. Chayes, László Lovász 0001, Vera T. Sós, Balázs Szegedy, Katalin Vesztergombi Graph limits and parameter testing. Search on Bibsonomy STOC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF convergence of graphs, distance of graphs, graph limit, property testing, graph homomorphism
15Masaki Hashizume, Tomomi Nishida, Hiroyuki Yotsuyanagi, Takeomi Tamesada, Yukiya Miura Current Testable Design of Resistor String DACs. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Shyue-Kung Lu, Ting-Yu Chen, Wei-Yuan Liu Efficient Built-In Self-Test Schemes for Video Coding Cores: a Case Study on DCT/IDCT Circuits. Search on Bibsonomy PRDC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Irith Pomeranz, Sudhakar M. Reddy Autoscan: a scan design without external scan inputs or outputs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Josef Strnadel, Zdenek Kotásek Educational Tool for the Demonstration of DfT Principles Based on Scan Methodologies. Search on Bibsonomy DSD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Paul Valiant The Tensor Product of Two Codes Is Not Necessarily Robustly Testable. Search on Bibsonomy APPROX-RANDOM The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Deepali Koppad, Alexandre V. Bystrov, Alexandre Yakovlev Off-Line Testing of Asynchronous Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15José Luis Huertas Test and design-for-test of mixed-signal integrated circuits. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15David Hély, Marie-Lise Flottes, Frédéric Bancel, Bruno Rouzeyre, Nicolas Bérard, Michel Renovell Scan Design and Secure Chip. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Sita Ramakrishnan VISWAS and on Diagnosability with IEEE Std P1522 and UML2.0 Testing Profile. Search on Bibsonomy FATES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
15Marcelino B. Santos, Fernando M. Gonçalves, Isabel C. Teixeira, João Paulo Teixeira 0001 RTL Design Validation, DFT and Test Pattern Generation for High Defects Coverage. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF fault modeling, DFT, TPG, RTL
15Mohammad A. Naal, M. Rakotoar, Emmanuel Simeu, Chouki Aktouf Using Concurrent and Semi-Concurrent On-Line Testing During HLS: An Adaptable Approach. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
15Kamalnayan Jayaraman, Vivekananda M. Vedula, Jacob A. Abraham Native Mode Functional Self-Test Generation for Systems-on-Chip. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional self-test, native-mode, signature compression, system-on-chip, at-speed
15Daniela De Venuto, Michael J. Ohletz On-Chip Test for Mixed-Signal ASICs using Two-Mode Comparators with Bias-Programmable Reference Voltages. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF mixed-signal ASIC, hardware conversion, GO/NOGO test, bias programming, DfT, power consumption, overhead
15Chien-Nan Jimmy Liu, I-Ling Chen, Jing-Yang Jou An efficient design-for-verification technique for HDLs. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Dong Xiang, Yi Xu A Multiple Phase Partial Scan Design Method. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15David W. Flater, K. C. Morris 0001 Harmonized Conformance Testing for Product Data Managers. Search on Bibsonomy COMPSAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF middleware, testing, databases, standards, harmonization, PDM
15Michael Affenzeller, Franz Pichler, Rudolf Mittelmann On CAST.FSM Computation of Hierarchical Multi-layer Networks of Automata. Search on Bibsonomy EUROCAST The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Richard M. Chou, Kewal K. Saluja Testable Sequential Circuit Design: A Partition and Resynthesis Approach. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Sameer Sharma, Michael S. Hsiao Combination of Structural and State Analysis for Partial Scan. Search on Bibsonomy VLSI Design The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis, Yervant Zorian Sequential Fault Modeling and Test Pattern Generation for CMOS Iterative Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Sequential fault modeling, test pattern generation, robust testing, iterative logic arrays
15Irith Pomeranz, Sudhakar M. Reddy On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states
15Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Tong Liu 0007, Wei-Kang Huang, Fred J. Meyer, Fabrizio Lombardi Testing and testable designs for one-time programmable FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Marcello Dalpasso, Alessandro Bogliolo, Luca Benini, Michele Favalli Virtual Fault Simulation of Distributed IP-Based Designs. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15A. Bommireddy, Jitendra Khare, Saghir A. Shaikh, S.-T. Su Test and Debug of Networking SoCs: A Case Study. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Raghuram S. Tupuri, Jacob A. Abraham, Daniel G. Saab Hierarchical Test Generation for Systems On a Chip. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
15Ben Mathew, Daniel G. Saab Combining multiple DFT schemes with test generation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Kenneth M. Butler Estimating the Economic Benefits of DFT. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Thomas W. Williams Testing in Nanometer Technologies. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Noga Alon, Michael Krivelevich, Ilan Newman, Mario Szegedy Regular Languages Are Testable with a Constant Number of Queries. Search on Bibsonomy FOCS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF testing, randomized algorithms, regular languages
15Meghanad D. Wagh, Chien-In Henry Chen High-level design synthesis with redundancy removal for high speed testable adders. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Majid Sarrafzadeh, Toshihiko Takahashi A fast algorithm for routability testing. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Xiaowei Li 0001, Paul Y. S. Cheung Exploiting Test Resource Optimization in Data Path Synthesis for BIST. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Jingjing Xu, Rahul Kundu, F. Joel Ferguson A Systematic DFT Procedure for Library Cells. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Wei-Kang Huang, Fred J. Meyer, Xiao-Tao Chen, Fabrizio Lombardi Testing configurable LUT-based FPGA's. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik Efficient test-point selection for scan-based BIST. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
15Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Test Generation and Fault Simulation for Cell Fault Model using Stuck-at Fault Model based Test Tools. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cell fault model (CFM), fault simulation, test pattern generation, stuck-at fault model
Displaying result #501 - #600 of 2210 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license