The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Ketul B. Sutaria, Jihan Standfest, Inanc Meric, Amirhossein H. Davoody, Swaroop Kumar Namalapuri, Trinadh Mutyala, Supriya P., Balkaran Gill, Stephen Ramey, Jeffery Hicks Novel Re-configurable Circuits For Aging Characterization: Connecting Devices to Circuits. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Govind Bajpai, Aniket Gupta, Om Prakash 0007, Girish Pahwa, Jörg Henkel, Yogesh Singh Chauhan, Hussam Amrouch Impact of Radiation on Negative Capacitance FinFET. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yiming Qu, Jiwu Lu, Junkang Li, Zhuo Chen, Jie Zhang, Chunlong Li, Shiuh-Wuu Lee, Yi Zhao In-Situ Monitoring of Self-Heating Effect in Aggressively Scaled FinFETs and Its Quantitative Impact on Hot Carrier Degradation Under Dynamic Circuit Operation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tom Bonifield, Honglin Guo, Jeff West, Hisashi Shichijo, Talha Tahir High Frequency TDDB of Reinforced Isolation Dielectric Systems. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jingchen Cao, Lyuan Xu, Shi-Jie Wen, Rita Fung, Balaji Narasimham, Lloyd W. Massengill, Bharat L. Bhuva Temperature Dependence of Single-Event Transient Pulse Widths for 7-nm Bulk FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Luis Soriano, Hector Valencia, Ke-Xun Sun, Ronald Nelson Fast Neutron Irradiation Effects on Multiple Gallium Nitride (GaN) Device Reliability in Presence of Ambient Variations. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Bernhard Ruch, Gregor Pobegen, Christian Schleich, Tibor Grasser Generation of Hot-Carrier Induced Border and Interface Traps, Investigated by Spectroscopic Charge Pumping. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jia Hao Lim, Nagarajan Raghavan, Jae Hyun Kwon, Tae Young Lee, Robin Chao, Nyuk Leong Chung, Kazutaka Yamane, Naganivetha Thiyagarajah, Vinayak Bharat Naik, Kin Leong Pey Origins and Signatures of Tail Bit Failures in Ultrathin MgO Based STT-MRAM. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sayak Dutta Gupta, Vipin Joshi, Rajarshi Roy Chaudhuri, Anant kr Singh, Sirsha Guha, Mayank Shrivastava On the Root Cause of Dynamic ON Resistance Behavior in AlGaN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Amartya Ghosh, Jifa Hao, Michael Cook 0004, Chris Kendrick, Samia A. Suliman, Gavin D. R. Hall, Tom Kopley, Osama O. Awadelkarim Studies of Bias Temperature Instabilities in 4H-SiC DMOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Andrew M. Keller, Jared Anderson, Michael J. Wirthlin, Shi-Jie Wen, Rita Fung, Conner Chambers Using Partial Duplication With Compare to Detect Radiation-Induced Failure in a Commercial FPGA-Based Networking System. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jakob Michl, Alexander Grill, Dieter Claes, Gerhard Rzepa, Ben Kaczer, Dimitri Linten, Iuliana P. Radu, Tibor Grasser, Michael Waltl Quantum Mechanical Charge Trap Modeling to Explain BTI at Cryogenic Temperatures. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Longda Zhou, Qingzhu Zhang, Hong Yang, Zhigang Ji, Zhaohao Zhang, Renren Xu, Huaxiang Yin, Wenwu Wang 0006 Comparative Study on the Energy Profile of NBTI-Related Defects in Si and Ferroelectric p-FinFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Assaad El Helou, Marko J. Tadjer, Karl D. Hobart, Peter E. Raad Effects of Thermal Boundary Resistance on the Thermal Performance of GaN HEMT on Diamond. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Linglin Jing, Rui Gao, Zhigang Ji, Runsheng Wang "Shift and Match" (S...M) method for channel mobility correction in degraded MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Marc Bocquet, Tifenn Hirtzlin, Jacques-Olivier Klein, Etienne Nowak, Elisa Vianello, Jean-Michel Portal, Damien Querlioz Embracing the Unreliability of Memory Devices for Neuromorphic Computing. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nagothu Karmel Kranthi, Chirag Garg, Boeila Sampath Kumar, Akram A. Salman, Gianluca Boselli, Mayank Shrivastava How to Achieve Moving Current Filament in High Voltage LDMOS Devices: Physical Insights & Design Guidelines for Self-Protected Concepts. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Changbeom Woo, Shinkeun Kim, Jaeyeol Park, Hyungcheol Shin, Haesoo Kim, Gil-Bok Choi, Moon-Sik Seo, Keum Hwan Noh Modeling of Charge Failure Mechanisms during the Short Term Retention Depending on Program/Erase Cycle Counts in 3-D NAND Flash Memories. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chang Cai, Tianqi Liu, Jie Liu 0032, Gengsheng Chen, Luchang Ding, Kai Zhao, Bingxu Ning, Mingjie Shen Large-tilt Heavy Ions Induced SEU in Multiple Radiation Hardened 22 nm FDSOI SRAMs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tian Shen, Koji Watanabe, Huimei Zhou, Michael Belyansky, Erin Stuckert, Jingyun Zhang, Andrew Greene, Veeraraghavan S. Basker, Miaomiao Wang 0006 A new technique for evaluating stacked nanosheet inner spacer TDDB reliability. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1David C. Zhou, William Li, Jingyu Shen, Leilei Chen, Thomas Zhao, Kent Lin, Martin Zhang, Larry Chen, H. C. Chiu, Jeff Zhang 0003, Roy K.-Y. Wong Reliability of 200mm E-mode GaN-on-Si Power HEMTs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Dmitry Goloubev, Shi-Jie Wen, Donald Allen, Ranjani Ram, Firdous Bano, Nithin Guruswamy, James Turman Use of Silicon-based Sensors for System Reliability Prediction. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1William A. Hubbard, Zachary Lingley, Jesse Theiss, Miles Brodie, Brendan Foran STEM EBIC for High-Resolution Electronic Characterization. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Evelyn Landman, Noam Brousard, Tamar Naishlos A novel approach to in-field, in-mission reliability monitoring based on Deep Data. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yohei Hiura, Shinichi Miyake, Shigetaka Mori, Koichi Matsumoto, Hidetoshi Ohnuma Study of Lower Voltage Protection against Plasma Process Induced Damage by Quantitative Prediction Technique. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Aniket Gupta, Kai Ni 0004, Om Prakash 0007, Xiaobo Sharon Hu, Hussam Amrouch Temperature Dependence and Temperature-Aware Sensing in Ferroelectric FET. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Peng Xiao, Haris Hadziosmanovic, Rong Jiang, Misagh Rostami-asrabad, Stephen Ramey, Ilan Tsamaret Reliability Stressing Control Using Jacobian Feedback Kelvin Measurement on Intel Technologies. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nikolaos Papandreou, Haralampos Pozidis, Nikolas Ioannou, Thomas P. Parnell, Roman A. Pletka, Milos Stanisavljevic, Radu Stoica, Sasa Tomic, Patrick Breen, Gary A. Tressler, Aaron Fry, Timothy Fisher, Andrew Walls Open Block Characterization and Read Voltage Calibration of 3D QLC NAND Flash. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Michael Waltl Defect Spectroscopy in SiC Devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1E. R. Hsieh, H. W. Cheng, Z. H. Huang, C. H. Chuang, S. P. Yang, Steve S. Chung A Pulsed RTN Transient Measurement Technique: Demonstration on the Understanding of the Switching in Resistance Memory. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Hai Jiang 0005, Hyun-Chul Sagong, Jinju Kim, Hyewon Shim, Yoohwan Kim, Junekyun Park, Taiki Uemura, Yongsung Ji, Taeyoung Jeong, Dongkyun Kwon, Hwasung Rhee, Sangwoo Pae, Brandon Lee Advanced Self-heating Model and Methodology for Layout Proximity Effect in FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mary A. Miller, Edward I. Cole, Garth M. Kraus, Perry J. Robertson At-Speed Defect Localization by Combining Laser Scanning Microscopy and Power Spectrum Analysis. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Susanna Yu, Tianshi Liu, Shengnan Zhu, Diang Xing, Arash Salemi, Minseok Kang, Kristen Booth, Marvin H. White, Anant K. Agarwal Threshold Voltage Instability of Commercial 1.2 kV SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1M. Monishmurali, Milova Paul, Mayank Shrivastava Improved Turn-on Uniformity & Failure Current Density by n-& p-Tap Engineering in Fin Based SCRs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Anastasiia Kruv, Ben Kaczer, Alexander Grill, Mario Gonzalez, Jacopo Franco, Dimitri Linten, Wolfgang Goes, Tibor Grasser, Ingrid De Wolf On the impact of mechanical stress on gate oxide trapping. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sarath Mohanachandran Nair, Rajendra Bishnoi, Mehdi B. Tahoori, Houman Zahedmanesh, Kristof Croes, Kevin Garello, Gouri Sankar Kar, Francky Catthoor Physics based modeling of bimodal electromigration failure distributions and variation analysis for VLSI interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kalparupa Mukherjee, Carlo De Santi, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini, Shuzhen You, Karen Geens, Matteo Borga, Benoit Bakeroot, Stefaan Decoutere Demonstration of Bilayer Gate Insulator for Improved Reliability in GaN-on-Si Vertical Transistors. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Riad Kabouche, Kathia Harrouche, Etienne Okada, Farid Medjdoub Short-term reliability of high performance Q-band AlN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tianshi Liu, Shengnan Zhu, Susanna Yu, Diang Xing, Arash Salemi, Minseok Kang, Kristen Booth, Marvin H. White, Anant K. Agarwal Gate Oxide Reliability Studies of Commercial 1.2 kV 4H-SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Daniel B. Habersat, Aivars J. Lelis, Ronald Green Towards a Robust Approach to Threshold Voltage Characterization and High Temperature Gate Bias Qualification. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Somayyeh Rahimi, Christian Schmidt 0026, Joy Y. Liao, Howard Lee Marks, Kyung Mo Shin Impact of X-Ray Radiation on the Reliability of Logic Integrated Circuits. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chung-Shuo Lee, Pavan Kumar Vaitheeswaran, Ganesh Subbarayan, Young-Joon Park, Jayhoon Chung, Srikanth Krishnan Reliability of Metal-Dielectric Structures Under Intermittent Current Pulsing. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rahul R. Potera, Tony Witt, Yongju Zheng Analysis of Transient HTRB Leakage in a SiC Field Ring Termination. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Wataru Mizubayashi, Hiroshi Oka, Koichi Fukuda, Yuki Ishikawa, Kazuhiko Endo Analysis of charge-to-hot-carrier degradation in Ge pFinFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alex Ayling, Shudong Huang, Elyse Rosenbaum Sub-nanosecond Reverse Recovery Measurement for ESD Devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Christopher H. Bennett, T. Patrick Xiao, Ryan Dellana, Ben Feinberg, Sapan Agarwal, Matthew J. Marinella, Vineet Agrawal, Venkatraman Prabhakar, Krishnaswamy Ramkumar, Long Hinh, Swatilekha Saha, Vijay Raghavan, Ramesh Chettuvetty Device-aware inference operations in SONOS nonvolatile memory arrays. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chetan Prasad, Sunny Chugh, Hannes Greve, I-chen Ho, Enamul Kabir, Cheyun Lin, Mahjabin Maksud, Steven R. Novak, Benjamin Orr, Keun Woo Park, Anthony Schmitz, Zhizheng Zhang 0006, Peng Bai, Doug B. Ingerly, Emre Armagan, Hsinwei Wu, Patrick N. Stover, Lance Hibbeler, Michael O'Day, Daniel Pantuso Silicon Reliability Characterization of Intel's Foveros 3D Integration Technology for Logic-on-Logic Die Stacking. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Kin P. Cheung Anomalous accelerated negative-bias- instability (NBI) at low drain bias. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alicja Lesniewska, Philippe J. Roussel, Davide Tierno, Victor Vega-Gonzalez, Marleen H. van der Veen, Patrick Verdonck, Nicolas Jourdan, Christopher J. Wilson, Zsolt Tökei, Kris Croes Dielectric Reliability Study of 21 nm Pitch Interconnects with Barrierless Ru Fill. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tian Shi Zhao, Chun Zhao, Ivona Z. Mitrovic, Eng Gee Lim, Li Yang, Chenghu Qiu, Ce Zhou Zhao Facile Route for Low-temperature Eco-friendly Solution Processed ZnSnO Thin-film Transistors. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Byungjin Chung, Jeongmin Jo, Hai Jiang 0005, Yongsung Ji, Tae-Young Jeong, Rakesh Ranjan, Youngin Park, Kiil Hong, Seungbae Lee, Hwasung Rhee, Sangwoo Pae, Euncheol Lee, Jaehee Choi, Shota Ohnishi, Ken Machida Investigating of SER in 28 nm FDSOI-Planar and Comparing with SER in Bulk-FinFET. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Vamsi Putcha, Erik Bury, Jacopo Franco, Amey Walke, Simeng Zhao, Uthayasankaran Peralagu, Ming Zhao, AliReza Alian, Ben Kaczer, Niamh Waldron, Dimitri Linten, Bertrand Parvais, Nadine Collaert Exploring the DC reliability metrics for scaled GaN-on-Si devices targeted for RF/5G applications. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tae-Young Jeong, Miji Lee, Yunkyung Jo, Jinwoo Kim, Min Kim, Myungsoo Yeo, Jinseok Kim 0005, Hyunjun Choi, Joosung Kim, Yoojin Jo, Yongsung Ji, Taiki Uemura, Hai Jiang 0005, Dongkyun Kwon, HwaSung Rhee, Sangwoo Pae, Brandon Lee Reliability on EUV Interconnect Technology for 7nm and beyond. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jian Liu 0027, Divya Acharya, Nathaniel Peachey Triggering Optimization on NAND ESD Clamp and Its ESD Protection IO Scheme for CMOS Designs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jose Angel Ortiz Gonzalez, Olayiwola Alatise, Phil Mawby Non-Intrusive Methodologies for Characterization of Bias Temperature Instability in SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yongsung Ji, Hyunjae Goo, Jungman Lim, Tae-Young Jeong, Taiki Uemura, Gun Rae Kim, Boil Seo, Seungbae Lee, Goeun Park, Jeongmin Jo, Sang-Il Han, Kilho Lee, Junghyuk Lee, Sohee Hwang, Daesop Lee, Suksoo Pyo, Hyun Taek Jung, Shinhee Han, Seungmo Noh, Kiseok Suh, Sungyoung Yoon, Hyeonwoo Nam, Hyewon Hwang, Hai Jiang 0005, J. W. Kim, D. Kwon, Yoonjong Song, K. H. Koh, Hwasung Rhee, Sangwoo Pae, E. Lee Reliability of Industrial grade Embedded-STT-MRAM. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jeevesh Kumar, Ansh, Asha Yadav, Anant Singh, Andrew Naclerio, Dmitri N. Zakharov, Piran Kidambi, Mayank Shrivastava Physical Insights into Phosphorene Transistor Degradation Under Exposure to Atmospheric Conditions and Electrical Stress. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Madankumar Sampath, Dallas T. Morisette, James A. Cooper Constant-Gate-Charge Scaling for Increased Short-Circuit Withstand Time in SiC Power Devices. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Shifan Gao, Yu Cong, Zeyu Zhang, Xiang Qiu, Choonghyun Lee, Yi Zhao Superior Data Retention of Programmable Linear RAM (PLRAM) for Compute-in-Memory Application. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Simon Schlipf, André Clausner, Jens Paul, Simone Capecchi, Laura Wambera, Karsten Meier, Ehrenfried Zschech Nanoindentation to investigate IC stability using ring oscillator circuits as a CPI sensor. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Michiel Vandemaele, Kai-Hsin Chuang, Erik Bury, Stanislav Tyaginov, Guido Groeseneken, Ben Kaczer The Influence of Gate Bias on the Anneal of Hot-Carrier Degradation. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sandeep R. Bahl, Francisco Baltazar, Yong Xie A Generalized Approach to Determine the Switching Lifetime of a GaN FET. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nicolò Zagni, Alessandro Chini, Francesco Maria Puglisi, Paolo Pavan, Matteo Meneghini, Gaudenzio Meneghesso, Enrico Zanoni, Giovanni Verzellesi Trap Dynamics Model Explaining the RON Stress/Recovery Behavior in Carbon-Doped Power AlGaN/GaN MOS-HEMTs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Cheyun Lin, Uygar E. Avci, M. A. Blount, Rohit Grover, Jeffery Hicks, R. Kasim, A. Kundu, C. M. Pelto, C. Ryder, Anthony Schmitz, K. Sethi, D. Seghete, D. J. Towner, A. J. Welsh, J. Weber, C. Auth Reliability Characteristics of a High Density Metal- Insulator-Metal Capacitor on Intel's 10+ Process. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1John M. McGlone, Guy Brizar, Daniel Vanderstraeten, Dorai Iyer, Sallie Hose, Jeff P. Gambino Effect of Residual TiN on Reliability of Au Wire Bonds during High Temperature Storage. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Sourov Roy, Abu Hanif, Faisal Khan Degradation Detection of Power Switches in a Live Three Phase Inverter using SSTDR Signal Embedded PWM Sequence. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Inanc Meric, Stephen Ramey, Steven R. Novak, S. Gupta, S. P. Mudanai, Jeffrey Hicks Modeling Framework for Transistor Aging Playback in Advanced Technology Nodes. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Tarek Ali, Kati Kühnel, Malte Czernohorsky, Matthias Rudolph, Björn Pätzold, Ricardo Olivo, David Lehninger, Konstantin Mertens, Franz Müller 0001, Maximilian Lederer, Raik Hoffmann, Clemens Mart, Mahsa N. Kalkani, Philipp Steinke, Thomas Kämpfe, Johannes Müller, Jan Van Houdt, Konrad Seidel, Lukas M. Eng Impact of Ferroelectric Wakeup on Reliability of Laminate based Si-doped Hafnium Oxide (HSO) FeFET Memory Cells. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jeffrey Zhang 0008, Antai Xu, Daniel Gitlin, Desmond Yeo Dynamic vs Static Burn-in for 16nm Production. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Judith Berens, Magdalena Weger, Gregor Pobegen, Thomas Aichinger, Gerald Rescher, Christian Schleich, Tibor Grasser Similarities and Differences of BTI in SiC and Si Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rajat Sinha, Prasenjit Bhattacharya, Sanjiv Sambandan, Mayank Shrivastava Threshold Voltage Shift in a-Si: H Thin film Transistors under ESD stress Conditions. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Khai Nguyen, Ernie Opiniano, Randolph Mah Backside Die-Edge and Underfill Fillet Cracks Induced by Additional Tensile Stress from Increasing Die-to-Package Ratio in Bare-Die FCBGA. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Ryo Akimoto, Rihito Kuroda, Akinobu Teramoto, Takezo Mawaki, Shinya Ichino, Tomoyuki Suwa, Shigetoshi Sugawa Effect of Drain-to-Source Voltage on Random Telegraph Noise Based on Statistical Analysis of MOSFETs with Various Gate Shapes. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Charles Mackin, Pritish Narayanan, Stefano Ambrogio, Hsinyu Tsai, Katie Spoon, Andrea Fasoli, An Chen, Alexander M. Friz, Robert M. Shelby, Geoffrey W. Burr Neuromorphic Computing with Phase Change, Device Reliability, and Variability Challenges. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Alain Bravaix, Edith Kussener, David Ney, Xavier Federspiel, Florian Cacho Hot-Carrier induced Breakdown events from Off to On mode in NEDMOS. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Mireia Bargallo González, Marcos Maestro-Izquierdo, Francesca Campabadal, Samuel Aldana, Francisco Jiménez-Molinos, Juan Bautista Roldán Impact of Intrinsic Series Resistance on the Reversible Dielectric Breakdown Kinetics in HfO2 Memristors. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Nian-Jia Wang, Kuan-Yi Lee, Hsin-Yi Lin, Wei-Hao Hsiao, Ming-Yi Lee, Li-Kuang Kuo, Ding-Jhang Lin, Yen-Hai Chao, Chih-Yuan Lu Statistical Analysis of Bit-Errors Distribution for Reliability of 3-D NAND Flash Memories. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Chen Wu, Adrian Vaisman Chasin, Steven Demuynck, Naoto Horiguchi, Kris Croes Conduction and Breakdown Mechanisms in Low-k Spacer and Nitride Spacer Dielectric Stacks in Middle of Line Interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rohit Grover, Tony Acosta, C. AnDyke, Emre Armagan, C. Auth, Sunny Chugh, K. Downes, M. Hattendorf, N. Jack, S. Joshi, R. Kasim, Gerald S. Leatherman, S.-H. Lee, C.-Y. Lin, A. Madhavan, H. Mao, A. Lowrie, G. Martin, G. McPherson, Pinakpani Nayak, A. Neale, D. Nminibapiel, Benjamin Orr, James Palmer, C. M. Pelto, S. S. Poon, I. Post, Tanmoy Pramanik, Anisur Rahman, Stephen Ramey, N. Seifert, K. Sethi, Anthony Schmitz, H. Wu, A. Yeoh A Reliability Overview of Intel's 10+ Logic Technology. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Byungjin Chung, Jeongmin Jo, Hai Jiang 0005, Yongsung Ji, Tae-Young Jeong, Rakesh Ranjan, Seungbae Lee, Hwasung Rhee, Sangwoo Pae, Euncheol Lee, Jaehee Choi, Shota Ohnishi, Ken Machida Backside Alpha-Irradiation Test in Flip-Chip Package in EUV 7 nm FinFET SRAM. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Rodolfo A. Rodriguez-Davila, Richard A. Chapman, Massimo Catalano, Manuel Quevedo-Lopez, Chadwin D. Young Enhanced Threshold Voltage Stability in ZnO Thin-Film-Transistors by Excess of Oxygen in Atomic Layer Deposited Al2O3. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Jing-Chyi Liao, Paul Ko, M. H. Hsieh, Zheng Zeng Self-healing LDMOSFET for high-voltage application on high-k/metal gate CMOS process. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Yi Ram Kim, Allison T. Osmanson, Hossein Madanipour, Choong-Un Kim, Patrick F. Thompson, Qiao Chen Effects of UBM Thickness and Current Flow Configuration on Electromigration Failure Mechanisms in Solder Interconnects. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Venkata Chaitanya Krishna Chekuri, Arvind Singh, Nael Mizanur Rahman, Edward Lee, Saibal Mukhopadhyay Aging Challenges in On-chip Voltage Regulator Design. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
1Norbert Herfurth, Anne Beyreuther, Elham Amini, Christian Boit, Michél Simon-Najasek, Susanne Hübner, Frank Altmann, R. Herfurth, Chen Wu, Ingrid De Wolf, Kris Croes New Access to Soft Breakdown Parameters of Low-k Dielectrics Through Localisation-Based Analysis. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Miaomiao Wang 0006, Jingyun Zhang, Huimei Zhou, Richard G. Southwick, Robin Hsin Kuo Chao, Xin Miao, Veeraraghavan S. Basker, Tenko Yamashita, Dechao Guo, Gauri Karve, Huiming Bu, James H. Stathis Bias Temperature Instability Reliability in Stacked Gate-All-Around Nanosheet Transistor. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Kevin Matocha, In-Hwan Ji, Xuning Zhang, Sauvik Chowdhury SiC Power MOSFETs: Designing for Reliability in Wide-Bandgap Semiconductors. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Norman Chang, Wentze Chuang, Ganesh Kumar Tsavatanalli, Joao Geada, Hao Zhuang, Sankar Ramachandran, Rahul Rajan, Ying-Shiun Li, Yaowei Jia, Mathew Kaipanatu, Suresh Kumar Mantena, Ming-Chih Shih, Anita Yang, Jyh-Shing Roger Jang Applying Machine Learning to Design for Reliability Coverage. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nikolaos Papandreou, Haralampos Pozidis, Thomas P. Parnell, Nikolas Ioannou, Roman A. Pletka, Sasa Tomic, Patrick Breen, Gary A. Tressler, Aaron Fry, Timothy Fisher Characterization and Analysis of Bit Errors in 3D TLC NAND Flash Memory. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Barry J. O'Sullivan, Romain Ritzenthaler, Gerhard Rzepa, Z. Wu, E. Dentoni Litta, O. Richard, T. Conard, V. Machkaoutsan, Pierre Fazan, C. Kim, Jacopo Franco, Ben Kaczer, Tibor Grasser, Alessio Spessot, Dimitri Linten, N. Horiguchi Gate-Stack Engineered NBTI Improvements in Highvoltage Logic-For-Memory High-ĸ/Metal Gate Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1J. M. Passage, Nabihah Azhari, J. R. Lloyd Stress Migration Followed by Electromigration Reliability Testing. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Khai Nguyen, Geoff Liang Modelling Degradation of Matched-Circuits in Operational Conditions: Active and Stand-by Modes. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Nando Kaminski, Sarah Rugen, Felix Hoffmann Gaining Confidence - A Review of Silicon Carbide's Reliability Status. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Diang Xing, Tianshi Liu, Susanna Yu, Minseok Kang, Arash Salemi, Marvin H. White, Anant K. Agarwal Design Strategies for Rugged SiC Power Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Hai Jiang 0005, Hyun-Chul Sagong, Jinju Kim, Junekyun Park, Sangchul Shin, Sangwoo Pae Localized Layout Effect Related Reliability Approach in 8nm FinFETs Technology: From Transistor to Circuit. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1A. K. M. Mahfuzul Islam, Ryota Shimizu, Hidetoshi Onodera Analysis of Random Telegraph Noise (RTN) at Near-Threshold Operation by Measuring 154k Ring Oscillators. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jian-Hsing Lee, Natarajan Mahadeva Iyer Tunable Holding-Voltage High Voltage ESD Devices. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Louise De Conti, Sorin Cristoloveanu, Maud Vinet, Philippe Galy Thin-Film FD-SOI BIMOS Topologies for ESD Protection. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Alaleh Tajalli, Eleonora Canato, Arianna Nardo, Matteo Meneghini, Arno Stockman, Peter Moens, Enrico Zanoni, Gaudenzio Meneghesso Impact of Sidewall Etching on the Dynamic Performance of GaN-on-Si E-Mode Transistors. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
1Jia Hao Lim, Nagarajan Raghavan, Vinayak Bharat Naik, Jae Hyun Kwon, Kazutaka Yamane, H. Yang, K. H. Lee, Kin Leong Pey Correct Extrapolation Model for TDDB of STT-MRAM MgO Magnetic Tunnel Junctions. Search on Bibsonomy IRPS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
Displaying result #601 - #700 of 1201 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license