The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for Defect with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1968-1985 (17) 1986-1988 (23) 1989-1990 (34) 1991 (16) 1992 (26) 1993 (83) 1994 (65) 1995 (81) 1996 (92) 1997 (88) 1998 (118) 1999 (113) 2000 (154) 2001 (157) 2002 (162) 2003 (236) 2004 (258) 2005 (300) 2006 (314) 2007 (326) 2008 (367) 2009 (291) 2010 (222) 2011 (212) 2012 (198) 2013 (211) 2014 (233) 2015 (237) 2016 (259) 2017 (288) 2018 (340) 2019 (437) 2020 (570) 2021 (679) 2022 (822) 2023 (945) 2024 (248)
Publication types (Num. hits)
article(3880) book(2) data(8) incollection(17) inproceedings(5224) phdthesis(59) proceedings(32)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3161 occurrences of 1400 keywords

Results
Found 9222 publication records. Showing 9222 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
121Qinbao Song, Martin J. Shepperd, Michelle Cartwright, Carolyn Mair Software Defect Association Mining and Defect Correction Effort Prediction. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect association, defect isolation effort, defect correction effort, Software defect prediction
89Ytzhak H. Levendel Reliability Analysis of Large Software Systems: Defect Data Modeling. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF defect data modeling, large distributed systems, defect removal, birth-death mathematical model, field failure report data, software development, distributed processing, software reliability, quality, program testing, large-scale systems, reliability analysis, bottleneck
88Jef Jacobs, J. H. van Moll, Paul J. Krause, Rob J. Kusters, Jos J. M. Trienekens Effects of Virtual Development on Product Quality: Exploring Defect Causes. Search on Bibsonomy STEP The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Virtual development, Defect injection, Defect Causal Analysis, Defect detection, Product Quality
78Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Influence of Resistive Bridging Fault on Crosstalk Coupling Effects in On-Chip Aggressor-Victim Interconnects. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defective interconnects, defect’s severity, fault model, crosstalk, bridging fault
75Claude Thibeault, Yvon Savaria, Jean-Louis Houle Test quality of hierarchical defect-tolerant integrated circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF defect level, fault coverage, defect tolerance, defect modeling, Combinatorial analysis
75Ajit Ashok Shenvi Defect prevention with orthogonal defect classification. Search on Bibsonomy ISEC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF odc, algorithm, interface, timing, documentation, function, assignment, car, checking
75Zachary D. Patitz, Nohpill Park Modeling and Evaluation of Threshold Defect Tolerance. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
74Kang Yi, Shih-Yang Cheng, Young-Hwan Park, Fadi J. Kurdahi, Ahmed M. Eltawil An Alternative Organization of Defect Map for Defect-Resilient Embedded On-Chip Memories. Search on Bibsonomy Asia-Pacific Computer Systems Architecture Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Embedded memory Yield, Defect Map, Memory Error Resilient Design, Video error concealment
72Anita Gupta, Jingyue Li, Reidar Conradi, Harald Rønneberg, Einar Landre A case study comparing defect profiles of a reused framework and of applications reusing it. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Empirical study, Software reuse, Software defect
72Hareton K. N. Leung Improving Defect Removal Effectiveness for Software Development. Search on Bibsonomy CSMR The full citation details ... 1998 DBLP  DOI  BibTeX  RDF defect removal, testing, process improvement, inspection, test process
70Stuart L. Riley Limitations to Estimating Yield Based on In-Line Defect Measurements. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Defect-limited yield, Yield estimation, In-line defect measurements, Kill ratio estimation, Defect review sampling, Defect classification, Yield prediction
70Suchendra M. Bhandarkar, Timothy D. Faust, Mengjin Tang CATALOG: a system for detection and rendering of internal log defects using computer tomography. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Automated log inspection, Automated lumber grading, Non-destructive evaluation, Non-destructive quality assessment, Computer tomography
69Dhamin Al-Khalili, Saman Adham, Côme Rozon, Moazzem Hossain, Douglas Racz Comprehensive Defect Analysis and Defect Coverage of CMOS Circuits. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF CMOS Defect Modeling, Defect Analysis
69Jing Huang 0001, Mehdi Baradaran Tahoori, Fabrizio Lombardi On the Defect Tolerance of Nano-Scale Two-Dimensional Crossbars. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
66Marko Jäntti, Tanja Toroi, Anne Eerola Difficulties in Establishing a Defect Management Process: A Case Study. Search on Bibsonomy PROFES The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
65Stefan Wagner 0001 Defect classification and defect types revisited. Search on Bibsonomy DEFECTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF defect taxonomy, defect types, faults, defects, bugs, defect classification
65Mehdi Baradaran Tahoori Application-independent defect tolerance of reconfigurable nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
65David Russell 0002, Nilesh Patel Increasing Software Engineering Efficiency Through Defect Tracking Integration. Search on Bibsonomy ICSEA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF testing, quality assurance, integrated development environment (IDE), Defect tracking
65Nachiappan Nagappan, Thomas Ball Static analysis tools as early indicators of pre-release defect density. Search on Bibsonomy ICSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF statistical methods, fault-proneness, defect density, static analysis tools
64Abhishek Singh 0001, Jim Plusquellic, Dhananjay S. Phatak, Chintan Patel Defect Simulation Methodology for iDDT Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF iDDT, transient current testing, device testing, ATPG, fault simulation, IDDQ, defect simulation, defect-based test
64Marek Leszak Software Defect Analysis of a Multi-release Telecommunications System. Search on Bibsonomy PROFES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF software process metrics, errorproneness, Case study, defect prediction, defect density
64R. D. (Shawn) Blanton, Kumar N. Dwarakanath, Anirudh B. Shah Analyzing the Effectiveness of Multiple-Detect Test Sets. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Reza M. Rad, Mohammad Tehranipoor A Reconfiguration-based Defect Tolerance Method for Nanoscale Devices. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Nanoscale Devices, Fault Tolerance, Test, Reconfiguration, Redundancy, Crossbar
61Vijay K. Jain, Glenn H. Chapman Defect Tolerant and Energy Economized DSP Plane of a 3-D Heterogeneous SoC. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF 3D Heterogeneous sensor, redundancy and reconfiguration, energy economization, heterogeneous SOC, J-platform, defect tolerance
60Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Segment delay faults: a new fault model. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF segment delay faults, delay defect, distributed defect, rising transitions, falling transitions, transition tests, nonrobust tests, VLSI, fault diagnosis, logic testing, delays, integrated circuit testing, fault model, automatic testing, circuit analysis computing, robust tests, integrated circuit modelling, production testing, spot defect, manufacturing defects
60Michele Favalli Delay Fault Detection Problems in Circuits Featuring a Low Combinational Depth. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
60Dhruva Acharyya, Jim Plusquellic Hardware Results Demonstrating Defect Detection Using Power Supply Signal Measurements. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Shyue-Kung Lu, Tsung-Ying Lee, Cheng-Wen Wu Defect Level Prediction Using Multi-Model Fault Coverage. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
59Mehdi Baradaran Tahoori Low-overhead defect tolerance in crossbar nanoarchitectures. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF reconfigurable architectures, nanotechnology, Defect tolerance
59Rudolf Ramler, Klaus Wolfmaier, Erwin Stauder, Felix Kossak, Thomas Natschläger Key Questions in Building Defect Prediction Models in Practice. Search on Bibsonomy PROFES The full citation details ... 2009 DBLP  DOI  BibTeX  RDF software test management, machine learning, defect prediction
59Yomi Kastro, Ayse Basar Bener A defect prediction method for software versioning. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Neural networks, Defect prediction, Software defects
59Zhanglei Wang, Krishnendu Chakrabarty Built-in Self-test and Defect Tolerance in Molecular Electronics-based Nanofabrics. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanofabric, CAEN, chemically assembled, reconfiguration, BIST, nanotechnology, defect tolerance, molecular electronics
59Michael Nicolaidis, Lorena Anghel, Nadir Achouri Memory Defect Tolerance Architectures for Nanotechnologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF word repair, nanotechnologies, BISR, memory repair, high defect densities
59Yashwant K. Malaiya, Jason Denton Module Size Distribution and Defect Density. Search on Bibsonomy ISSRE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Module size, module size Distribution, reliability, defect density
58Masaru Fukushi, Susumu Horiguchi, Luke Demoracski, Fabrizio Lombardi An Efficient Framework for Scalable Defect Isolation in Large Scale Networks of DNA Self-Assembly. Search on Bibsonomy J. Electron. Test. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DNA self-assembled networks, Defect isolation, 1-hop wave expansion, Unsafe node detection, Gross defect model, Broadcast
58Gang Wang 0015, Wenrui Gong, Ryan Kastner On the use of Bloom filters for defect maps in nanocomputing. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect map, nanotechnology, Bloom filter, defect tolerant
56Michel Renovell, Florence Azaïs, Yves Bertrand Detection of Defects Using Fault Model Oriented Test Sequences. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF fault modeling, defect
55Mangala Gowri Nanda, Monika Gupta 0002, Saurabh Sinha, Satish Chandra 0001, David Schmidt, Pradeep Balachandran Making defect-finding tools work for you. Search on Bibsonomy ICSE (2) The full citation details ... 2010 DBLP  DOI  BibTeX  RDF defect differencing, defect merging, defect prioritization, defect views, static analysis portal
55Nobuhiro Tomabechi Multi-Dimensional Subsystem-Dividing for Yield Enhancement in Defect-Tolerant WSI Systems. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF subsystem-dividing, yield, defect-tolerant, WSI, multi-dimensional
55Raymond J. Madachy, Barry W. Boehm Assessing Quality Processes with ODC COQUALMO. Search on Bibsonomy ICSP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF quality processes, system dynamics, COCOMO, value-based software engineering, defect modeling, orthogonal defect classification, COQUALMO
54Eun-Ser Lee, Sang Ho Lee 0004 Design Opportunity Tree for Schedule Management and Evaluation by COQUALMO. Search on Bibsonomy ICCSA (4) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Defect cause prioritization, Defect reduction, Project Schedule Management, Opportunity Tree, Quality, Software Process Improvement, COQUALMO, Defect Management
54Maryam Ashouei, Adit D. Singh, Abhijit Chatterjee Reconfiguring CMOS as Pseudo N/PMOS for Defect Tolerance in Nano-Scale CMOS. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
54Toshiyuki Amano Correlation Based Image Defect Detection. Search on Bibsonomy ICPR (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Xiaoliang Bai, Sujit Dey High-level crosstalk defect Simulation methodology for system-on-chip interconnects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
54Bernd G. Freimut, Oliver Laitenberger, Stefan Biffl Investigating The Impact Of Reading Techniques On The Accuracy Of Different Defect Content Estimation Techniques. Search on Bibsonomy IEEE METRICS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
54Wen-Ben Jone Defect level estimation of circuit testing using sequential statistical analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
52Muzaffer O. Simsir, Srihari Cadambi, Franjo Ivancic, Martin Rötteler, Niraj K. Jha A hybrid nano-CMOS architecture for defect and fault tolerance. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanotechnology, Defect tolerance, nanowires
52G. S. Mahalakshmi 0001, T. V. Geetha Formal Definitions of Reason Fallacies to Aid Defect Exploration in Argument Gaming. Search on Bibsonomy ICLA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Indian logic, Nyaya Sastra, Reason fallacies, Argumentation, Defect
52Akif Günes Koru, Khaled El Emam, Dongsong Zhang, Hongfang Liu, Divya Mathew Theory of relative defect proneness. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Size-defect relationship, Planning for software quality assurance, Software testing, Software metrics, Open-source software, Software inspections, Software reviews, Software science
52Jaidev P. Patwardhan, Vijeta Johri, Chris Dwyer, Alvin R. Lebeck A defect tolerant self-organizing nanoscale SIMD architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-organizing, SIMD, data parallel, DNA, defect tolerance, nanocomputing, bit-serial
52Paul Luo Li, Mary Shaw, James D. Herbsleb, Bonnie K. Ray, Peter Santhanam Empirical evaluation of defect projection models for widely-deployed production software systems. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF maintenance resource planning, software insurance, open source software, COTS, empirical research, defect modeling
52Xiaoliang Bai, Sujit Dey High-level Crosstalk Defect Simulation for System-on-Chip Interconnects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF System-on-Chip, Crosstalk, Interconnect test, Defect simulation, High level
52S. K. Tewksbury Challenges Facing Practical DFT for MEMS. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Microelectromechanical systems, microsystems technologies, fault tolerance, defect tolerance
52Marek Leszak, Dewayne E. Perry, Dieter Stoll A case study in root cause defect analysis. Search on Bibsonomy ICSE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF defect prevention, modification management, root cause analyis, process improvement, quality assurance
51Youngki Hong, Jongmoon Baik, In-Young Ko, Ho-Jin Choi A Value-Added Predictive Defect Type Distribution Model Based on Project Characteristics. Search on Bibsonomy ACIS-ICIS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF In-process Defect Prediction, Defect Type Distribution, Weibull Function, Software Reliability, Maximum Likelihood Estimation
51Leendert M. Huisman Yield fluctuations and defect models. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF chip testing, defect distribution, field failures, clustering, yield, defect coverage
51Ram Chillarege, Inderpal S. Bhandari, Jarir K. Chaar, Michael J. Halliday, Diane S. Moebus, Bonnie K. Ray, Man-Yuen Wong Orthogonal Defect Classification - A Concept for In-Process Measurements. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF in-process measurements, cause-effect relationships, measurement and analysis methods, defect trigger distribution, testing, software development, software quality, software reliability, feedback, completeness, inspection, semantic information, necessary and sufficient conditions, verification processes, orthogonal defect classification
51Dietmar Winkler 0001, Bernhard Riedl, Stefan Biffl Improvement of Design Specifications with Inspection and Testing. Search on Bibsonomy EUROMICRO-SEAA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Usage-Based Testing, Software Product Improvement, Software Inspection, Verification and Validation
50Tiejun Pan, Leina Zheng, Chengbin Fang Defect Tracing System Based on Orthogonal Defect Classification. Search on Bibsonomy CSSE (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
50Xiaohong Jiang 0001, Susumu Horiguchi, Yue Hao Predicting the Yield Efficacy of a Defect-Tolerant Embedded Core. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
50Ajoy Kumar Palit, Kishore K. Duganapalli, Walter Anheier Modeling of Crosstalk Fault in Defective Interconnects. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF defect-based-crosstalk fault model, signal integrity losses, aggres-sor-victim, ABCD-model, crosstalk-hazards
50Michael Nicolaidis, Nadir Achouri, Lorena Anghel A Diversified Memory Built-In Self-Repair Approach for Nanotechnologies. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF ECC codes, word repair, nanotechnologies, memory repair, high defect densities
49Yuri Chernak A Statistical Approach to the Inspection Checklist Formal Synthesis and Improvement. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Defect causal analysis, inspection checklist, software testing, software inspection, defect modeling
47Lushan Liu, Pradeep Nagaraj, Shambhu J. Upadhyaya, Ramalingam Sridhar Defect Analysis and Defect Tolerant Design of Multi-port SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-port SRAMs, Defect/fault tolerant design, Defect analysis
47Hideaki Doi, Yoko Suzuki, Yasuhiko Hara, Tadashi Iida, Yasuhiro Fujishita, Koichi Karasaki Real-Time X-Ray Inspection of 3D Defects in Circuit Board Patterns. Search on Bibsonomy ICCV The full citation details ... 1995 DBLP  DOI  BibTeX  RDF printed circuit layout, X-ray applications, real time X-ray inspection, real-time X-ray inspection, 3D defect, 3-D defects, circuit board patterns, three dimensional defects, fine PCB patterns, sphere surface, X-ray detector, defect detection algorithm, heavy shading, real-time systems, feature extraction, feature extraction, signal processing, inspection, circuit analysis computing, X-ray images, printed circuit board, printed circuit testing, perspective transform, intensity variation, defect detection techniques
47Aleksandar Dogandzic, Benhong Zhang Bayesian NDE Defect Signal Analysis. Search on Bibsonomy IEEE Trans. Signal Process. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Anu Gupta, Ravinder Kumar Singla An Empirical Investigation of Defect Management in Free/Open Source Software Projects. Search on Bibsonomy SCSS (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Stelios Neophytou, Maria K. Michael Hierarchical Fault Compatibility Identification for Test Generation with a Small Number of Specified Bits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Rahul Jain 0004, Anindita Mukherjee, Kolin Paul Defect-Aware Design Paradigm for Reconfigurable Architectures. Search on Bibsonomy ISVLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Mehdi Baradaran Tahoori Application-independent defect-tolerant crossbar nano-architectures. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Li Meng, Xiaoyuan He, Sontakke Ashok Defect Prevention: A General Framework and Its Application. Search on Bibsonomy QSIC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
47Shi-Yu Huang On Improving the Accuracy Of Multiple Defect Diagnosis. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
47Nohpill Park, Fred J. Meyer, Fabrizio Lombardi Quality-Effective Repair of Multichip Module Systems. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
46Rattikorn Hewett, Phongphun Kijsanayothin On modeling software defect repair time. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Defect report analysis, Data mining, Software testing, Quality assurance, Testing management
46Chung-Ho Noh, Seok-Lyong Lee, Deok-Hwan Kim, Chin-Wan Chung Effective defect classification for flat display panel film images. Search on Bibsonomy ICHIT The full citation details ... 2009 DBLP  DOI  BibTeX  RDF flat display panel, image analysis, shape descriptor, defect classification
46V. Suma 0001, T. R. Gopalakrishnan Nair Enhanced Approaches in Defect Detection and Prevention Strategies in Small and Medium Scale Industries. Search on Bibsonomy ICSEA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Defect detection and Prevention, Software Engineering, Testing, Software Quality, Software Process, Inspections
46Artem Marchenko, Pekka Abrahamsson Predicting Software Defect Density: A Case Study on Automated Static Code Analysis. Search on Bibsonomy XP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF defect estimation, case study, quality, automation, embedded software, agile software development, static code analysis
46Per Runeson, Carina Andersson, Thomas Thelin, Anneliese Amschler Andrews, Tomas Berling What do we know about defect detection methods? [software testing]. Search on Bibsonomy IEEE Softw. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF testing, empirical studies, inspection, defect detection, evidence-based software engineering
46Patrick Knab, Martin Pinzger 0001, Abraham Bernstein Predicting defect densities in source code files with decision tree learners. Search on Bibsonomy MSR The full citation details ... 2006 DBLP  DOI  BibTeX  RDF decision tree learner, data mining, defect prediction
46Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect Detection Using Quiescent Signal Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple current measurements, Quiescent Signal Analysis, IDDQ, current testing, defect-based testing, parametric testing
46Suresh Yadla, Jane Huffman Hayes, Alex Dekhtyar Tracing requirements to defect reports: an application of information retrieval techniques. Search on Bibsonomy Innov. Syst. Softw. Eng. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Defect reports, Requirements traceability matrix (RTM), Information retrieval, Requirements, Tracing, Requirements tracing
46Nachiappan Nagappan, Thomas Ball Use of relative code churn measures to predict system defect density. Search on Bibsonomy ICSE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF relative code churn, principal component analysis, multiple regression, fault-proneness, defect density
46Prasanna Sundararajan, Steve Guccione Run-Time defect tolerance using JBits. Search on Bibsonomy FPGA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Java, FPGA, cores, defect tolerance, run-time reconfiguration
46Wen-Ben Jone, K. S. Tsai Confidence analysis for defect-level estimation of VLSI random testing. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF defect level analysis, test confidence analysis, random testing, VLSI testing, test quality
46Wen-Ben Jone, Sunil R. Das A Stochastic Method for Defect Level Analysis of Pseudorandom Testing. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF defect level analysis, differential equation, VLSI testing, pseudorandom testing
45Dan X. Houston, Douglas Buettner, Myron Hecht Dynamic COQUALMO: Defect Profiling over Development Cycles. Search on Bibsonomy ICSP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Defect profile, defect introduction and removal, COQUALMO
45Craig Henderson Managing software defects: defect analysis and traceability. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2008 DBLP  DOI  BibTeX  RDF radial charts, software builds, metrics, software quality, bugs, defect analysis, defect management
45José T. de Sousa, Vishwani D. Agrawal Reducing the Complexity of Defect Level Modeling Using the Clustering Effect. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF defect clustering, defect level, fault clustering, reject ratio, fault coverage
45Georg Lambert, Franziska Bock Wavelet methods for texture defect detection. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiscale wavelet methods, texture defect detection, wavelet bases, texture defects localisation, multiscale wavelet representations, fast dyadic wavelet transform, translation invariant a trous algorithm, feature extraction, image texture, computational costs, defect classification, decomposition algorithms, image texture analysis
45Anil Gandhi, Stacy Hall, Ron Harris An examination of empirically derived within-die local probabilities of failure. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF empirically derived within-die local probabilities, circuit densities, yield sensitivity, in-line defect inspection equipment, back-end sort data, model free approach, defect review sampling, failure, failure analysis
45Yanhong Li, Daniel P. Lopresti, George Nagy, Andrew Tomkins Validation of Image Defect Models for Optical Character Recognition. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF document image defect models, OCR error classification, defect model validation, Optical character recognition
45Reza M. Rad, Mohammad Tehranipoor SCT: A novel approach for testing and configuring nanoscale devices. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Configuration and testing, reconfigurable nanoscale devices, fault tolerance, crossbar, nanowire
45Michel Renovell Revisiting the Classical Fault Models through a Detailed Analysis of Realistic Defects. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
43Hongbin Sun 0001, Nanning Zheng 0001, Tong Zhang 0002 Realization of L2 Cache Defect Tolerance Using Multi-bit ECC. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Konstantin Likharev Defect-Tolerant Hybrid CMOS/Nanoelectronic Circuits. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Arvind Kumar, Sandip Tiwari Testing and Defect Tolerance: A Rent's Rule Based Analysis and Implications on Nanoelectronics. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
43Chenggang Bai, Kai-Yuan Cai, T. Y. Chen An Efficient Defect Estimation Method for Software Defect Curves. Search on Bibsonomy COMPSAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
43Zhan Chen, Israel Koren Techniques for Yield Enhancement of VLSI Adders. Search on Bibsonomy ASAP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI yield, VLSI adder, defect tolerance, VLSI layout
42Marco Broglia, Giacomo Buonanno, Mariagiovanna Sami, M. Selvini Designing for Yield: A Defect-Tolerant Approach to High-Level Synthesis. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Slice, Defect Tolerance, Data-Path
42Gerard A. Allan, Anthony J. Walton Efficient critical area estimation for arbitrary defect shapes. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF critical area estimation, arbitrary defect shapes, circular defects, elliptical defects, rod shaped defects, arbitrary shaped defects, Edinburgh Yield Estimator, Cadence layout editor, EYE-sampling tool, EYE, EYES, integrated circuit yield, IC layout
Displaying result #1 - #100 of 9222 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license