The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ISCAS85 with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1997 (16) 1998-2000 (18) 2001-2002 (17) 2003-2004 (19) 2005-2007 (23) 2008-2010 (12)
Publication types (Num. hits)
article(28) inproceedings(77)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 137 occurrences of 114 keywords

Results
Found 105 publication records. Showing 105 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
60Wangqi Qiu, D. M. H. Walker Testing the Path Delay Faults of ISCAS85 Circuit c6288. Search on Bibsonomy MTV The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
47Shi-Yu Huang, Kuang-Chien Chen, Kwang-Ting Cheng Incremental logic rectification. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF incremental logic rectification, incorrect combinational circuit, symbolic BDD techniques, sequence of partial corrections, circuits with multiple errors, general single-gate correction, structural correspondence, ISCAS85 benchmark circuits, error region pruning, specification, implementation, logic CAD, VLSI design, hybrid approach
47Yu Fang, Alexander Albicki Efficient testability enhancement for combinational circuit. Search on Bibsonomy ICCD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF testability enhancement, combinational circuit testing, XOR Chain Structure, insertion points, random pattern resistant node source tracking, ISCAS85, performance evaluation, VLSI, VLSI, logic testing, controllability, built-in self test, combinational circuits, automatic testing, automatic testing, observability, testability analysis, benchmark circuits, hardware overhead, performance penalty
14Sumanth Amarchinta, Dhireesha Kudithipudi Performance enhancement of subthreshold circuits using substrate biasing and charge-boosting buffers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF charge-boosters, subthreshold design, biasing
14Sheng Wei 0001, Saro Meguerdichian, Miodrag Potkonjak Gate-level characterization: foundations and hardware security applications. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF gate-level characterization, hardware Trojan horse, thermal conditioning, manufacturing variability
14Pratik J. Shah, Jiang Hu Impact of lithography-friendly circuit layout. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cd variation, lithography, wirelength, routing congestion
14Fan Wang, Vishwani D. Agrawal Soft Error Rates with Inertial and Logical Masking. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Koustav Bhattacharya, Nagarajan Ranganathan RADJAM: A Novel Approach for Reduction of Soft Errors in Logic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
14Munkang Choi, Linda S. Milor Diagnosis of Optical Lithography Faults With Product Test Sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Roberto Gómez 0001, Alejandro Girón, Víctor H. Champac A Test Generation Methodology for Interconnection Opens Considering Signals at the Coupled Lines. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Interconnection opens, Boolean testing, Favorable logic conditions, Test generation methodology, Coupling capacitances
14David Y. Feinstein, Mitchell A. Thornton, D. Michael Miller Partially Redundant Logic Detection Using Symbolic Equivalence Checking in Reversible and Irreversible Logic Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Hao Xu 0010, Wen-Ben Jone, Ranga Vemuri Accurate energy breakeven time estimation for run-time power gating. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
14Koustav Bhattacharya, Nagarajan Ranganathan A linear programming formulation for security-aware gate sizing. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dynamic power variance, linear programming., path balancing, differential power analysis, gate sizing
14Yi Wang, Xuan Zeng 0001, Jun Tao 0001, Hengliang Zhu, Xu Luo, Changhao Yan, Wei Cai 0003 Adaptive Stochastic Collocation Method (ASCM) for Parameterized Statistical Timing Analysis with Quadratic Delay Model. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF adaptive stochastic collocation method, max, process variations, statistical static timing analysis
14Zhanyuan Jiang, Weiping Shi Circuit-wise buffer insertion and gate sizing algorithm with scalability. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF buffer insertion, gate sizing, interconnect synthesis
14Animesh Datta, Ashish Goel, R. T. Cakici, Hamid Mahmoodi, Dheepa Lekshmanan, Kaushik Roy 0001 Modeling and Circuit Synthesis for Independently Controlled Double Gate FinFET Devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Fatih Kocan, Daniel G. Saab Dynamic Fault Diagnosis of Combinational and Sequential Circuits on Reconfigurable Hardware. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Dynamic fault diagnosis, FPGA, Emulation, Stuck-at faults, Circuits, Gate-level
14Suchismita Roy, P. P. Chakrabarti 0001, Pallab Dasgupta Event propagation for accurate circuit delay calculation using SAT. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Critical delay, event propagation, SAT
14Charles Thangaraj, Tom Chen 0001 Power andPerformance Analysis for Early Design Space Exploration. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Power-performance tradeoff, What-if analysis
14Hratch Mangassarian, Andreas G. Veneris, Sean Safarpour, Farid N. Najm, Magdy S. Abadir Maximum circuit activity estimation using pseudo-boolean satisfiability. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Sanjay Pant, David T. Blaauw Timing-Aware Decoupling Capacitance Allocation in Power Distribution Networks. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kyung Ki Kim, Yong-Bin Kim Optimal Body Biasing for Minimum Leakage Power in Standby Mode. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Hong Luo, Yu Wang 0002, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Modeling of PMOS NBTI Effect Considering Temperature Variation. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Kalyana R. Kantipudi, Vishwani D. Agrawal A Reduced Complexity Algorithm for Minimizing N-Detect Tests. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Tao Li, Zhiping Yu Statistical Analysis of Full-Chip Leakage Power Considering Junction Tunneling Leakage. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Munkang Choi, Linda S. Milor Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Kaviraj Chopra, Sarma B. K. Vrudhula Efficient Symbolic Algorithms for Computing the Minimum and Bounded Leakage States. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Hai Lin, Yu Wang 0002, Rong Luo, Huazhong Yang, Hui Wang 0004 IR-drop Reduction Through Combinational Circuit Partitioning. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Static Timing Analysis, IR-drop, circuit partitioning
14Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Soft delay error analysis in logic circuits. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Animesh Datta, Swarup Bhunia, Jung Hwan Choi, Saibal Mukhopadhyay, Kaushik Roy 0001 Speed binning aware design methodology to improve profit under parameter variations. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Vishnu C. Vimjam, Michael S. Hsiao Efficient Fault Collapsing via Generalized Dominance Relations. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
14Ashish Kumar Singh, Murari Mani, Ruchir Puri, Michael Orshansky Gain-based technology mapping for minimum runtime leakage under input vector uncertainty. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF leakage, technology mapping, logical effort
14Mandar Waghmode, Zhuo Li 0001, Weiping Shi Buffer insertion in large circuits with constructive solution search techniques. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF physical design, buffer insertion, cost optimization, interconnect synthesis
14Fatih Kocan, Mehmet Hadi Gunes On the ZBDD-based nonenumerative path delay fault coverage calculation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Yaping Zhan, Andrzej J. Strojwas, Mahesh Sharma, David Newmark Statistical Critical Path Analysis Considering Correlations. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Lei Wu 0009, D. M. H. Walker A Fast Algorithm for Critical Path Tracing in VLSI Digital Circuits. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi Simulating Faults of Combinational IP Core-based SOCs in a PLI Environment. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Fatih Kocan, Mehmet Hadi Gunes Acyclic circuit partitioning for path delay fault emulation. Search on Bibsonomy AICCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
14Xavier Michel, Alexandre Verle, Philippe Maurine, Nadine Azémard, Daniel Auvergne Performance Metric Based Optimization Protocol. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Arijit Mondal, P. P. Chakrabarti 0001, Chittaranjan A. Mandal A New Approach to Timing Analysis Using Event Propagation and Temporal Logic. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Abilio Parreira, João Paulo Teixeira 0001, Marcelino B. Santos FPGAs BIST Evaluation. Search on Bibsonomy FPL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Srivathsan Krishnamohan, Nihar R. Mahapatra A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Hamidreza Hashempour, Fabrizio Lombardi Evaluation of heuristic techniques for test vector ordering. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF test vector ordering, compression, SoC, power consumption, ATE, test data
14Xiang Lu, Zhuo Li 0001, Wangqi Qiu, D. M. H. Walker, Weiping Shi PARADE: PARAmetric Delay Evaluation under Process Variation. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Kaviraj Chopra, Sarma B. K. Vrudhula, Sarvesh Bhardwaj Efficient Algorithms for Identifying the Minimum Leakage States in CMOS Combinational Logic. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Ki-Wook Kim, Seong-Ook Jung, Taewhan Kim, Prashant Saxena, C. L. Liu 0001, S.-M. S. Kang Coupling delay optimization by temporal decorrelation using dual threshold voltage technique. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Saravanan Padmanaban, Maria K. Michael, Spyros Tragoudas Exact path delay fault coverage with fundamental ZBDD operations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Poul Frederick Williams, Henrik Reif Andersen, Henrik Hulgaard Satisfiability checking using Boolean Expression Diagrams. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Shi-Yu Huang A Symbolic Inject-and-Evaluate Paradigm for Byzantine Fault Diagnosis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF diagnosis, symbolic simulation, Byzantine fault
14Ronald D. Blanton, John P. Hayes On the properties of the input pattern fault model. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault testing, testing digital circuits, ATPG, fault models, faults, defects
14Yongjun Xu, Zuying Luo, Zhiguo Chen, Xiaowei Li 0001 Average Leakage Current Macromodeling for Dual-Threshold Voltage Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stack effect, leakage current simulation, propagation of signal probability, macromodeling
14Pedram A. Riahi, Zainalabedin Navabi, Fabrizio Lombardi The VPI-Based Combinational IP Core Module-Based Mixed Level Serial Fault Simulation and Test Generation Methodology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Zhuo Li 0001, Xiang Lu, Weiping Shi Process variation dimension reduction based on SVD. Search on Bibsonomy ISCAS (4) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Wangqi Qiu, Xiang Lu, Zhuo Li 0001, D. M. H. Walker, Weiping Shi CodSim -- A Combined Delay Fault Simulator. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Vishwani D. Agrawal, Dong Hyun Baik, Yong Chang Kim, Kewal K. Saluja Exclusive Test and its Applications to Fault Diagnosis. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Santanu Chattopadhyay, Naveen Choudhary Genetic Algorithm based Approach for Low Power Combinational Circuit Testing. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
14Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Noise constrained transistor sizing and power optimization for dual Vst domino logic. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Seongmoon Wang, Sandeep K. Gupta 0001 DS-LFSR: a BIST TPG for low switching activity. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi Fast and exact transistor sizing based on iterative relaxation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Seong-Ook Jung, Ki-Wook Kim, Sung-Mo Kang Dual Threshold Voltage Domino Logic Synthesis for High Performance with Noise and Power Constrain. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Zuying Luo, Xiaowei Li 0001, Huawei Li 0001, Shiyuan Yang, Yinghua Min Test Power Optimization Techniques for CMOS Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Shi-Yu Huang Diagnosis Of Byzantine Open-Segment Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Santanu Chattopadhyay Efficient Circuit Specific Pseudoexhaustive Testing with Cellular Automata. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF cellular automata, Test pattern generators, pseudoexhaustive testing
14Mahesh Ketkar, Sachin S. Sapatnekar Standby power optimization via transistor sizing and dual threshold voltage assignment. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Geun Rae Cho, Tom Chen 0001 Mixed PTL/Static Logic Synthesis Using Genetic Algorithms for Low-Power Applications. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Mixed PTL/Static, Lower-Power Technology Mapping, Logic Synthesis, Pass Transistor Logic
14Kumar N. Dwarakanath, R. D. (Shawn) Blanton Exploiting Dominance and Equivalence using Fault Tuples. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Shi-Yu Huang Speeding Up The Byzantine Fault Diagnosis Using Symbolic Simulation. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
14Yi-Jong Yeh, Sy-Yen Kuo, Jing-Yang Jou Converter-free multiple-voltage scaling techniques for low-powerCMOS digital design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Sudhakar Bobba, Ibrahim N. Hajj Input vector generation for maximum intrinsic decoupling capacitance of VLSI circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Yi-Jong Yeh, Sy-Yen Kuo An optimization-based low-power voltage scaling technique using multiple supply voltages. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Aiman El-Maleh, Esam Khan, Saif al Zahir A Geometric-Primitives-Based Compression Scheme for Testing Systems-on-a-Chip. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14M. Enamul Amyeen, W. Kent Fuchs, Irith Pomeranz, Vamsi Boppana Fault Equivalence Identification Using Redundancy Information and Static and Dynamic Extraction. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Shi-Yu Huang On Improving the Accuracy Of Multiple Defect Diagnosis. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
14Ilker Hamzaoglu, Janak H. Patel Test set compaction algorithms for combinational circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Kabir Gulrajani, Michael S. Hsiao Multi-Node Static Logic Implications for Redundancy Identification. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Shiyou Zhao, Kaushik Roy 0001, Cheng-Kok Koh Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Sub-Micron CMOS Circuits. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Byungwoo Choi, D. M. H. Walker Timing Analysis of Combinational Circuits Including Capacitive Coupling and Statistical Process Variation. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect coupling, delay fault model, process variation, timing analysis, delay fault test
14Ilker Hamzaoglu, Janak H. Patel Reducing Test Application Time for Built-in-Self-Test Test Pattern Generators. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-in-Self-Test, Test Generation, Combinational Circuits, Test Application Time, Stuck-at Fault Model
14Chul Young Lee, D. M. H. Walker PROBE: A PPSFP Simulator for Resistive Bridging Faults. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF PPSFP, fault model, fault simulation, bridging fault, resistive bridging faults
14Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi MINFLOTRANSIT: min-cost flow based transistor sizing tool. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
14Amir Attarha, Mehrdad Nourani, Caro Lucas Modeling and simulation of real defects using fuzzy logic. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
14Haluk Konuk Voltage- and current-based fault simulation for interconnect open defects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Frank Poehl, Walter Anheier Quality Determination for Gate Delay Fault Tests Considering Three-State Elements. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, fault modelling, fault simulation
14Ilker Hamzaoglu, Janak H. Patel New Techniques for Deterministic Test Pattern Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF redundancy, stuck-at fault, Boolean satisfiability, automatic test generation, scan design, logic implications
14Seiichiro Tani, Mitsuo Teramoto, Tomoo Fukazawa, Kazuyoshi Matsuhiro Efficient Path Selection for Delay Testing Based on Path Clustering. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF clustering, delay testing, delay fault, path delay
14Dirk W. Hoffmann, Thomas Kropf Automatic Error Correction of Large Circuits Using Boolean Decomposition and Abstraction. Search on Bibsonomy CHARME The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Automatic error correction, design error diagnosis, formal methods, equivalence checking
14Vijay Sundararajan, Keshab K. Parhi Low Power Gate Resizing of Combinational Circuits by Buffer-Redistribution. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Gate-resizing, Buffer-redistribution, near-optimal, library-specific, optimal, low-power
14M. Enamul Amyeen, W. Kent Fuchs, Irith Pomeranz, Vamsi Boppana Implication and Evaluation Techniques for Proving Fault Equivalence. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Rajeev Murgai, Jawahar Jain, Masahiro Fujita Efficient Scheduling Techniques for ROBDD Construction. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
14Vijay R. Sar-Dessai, D. M. H. Walker Accurate Fault Modeling and Fault Simulation of Resistive Bridges. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF realistic bridges, zero-ohm bridges, Resistive bridging faults, low-voltage testing
14Ilker Hamzaoglu, Janak H. Patel Compact two-pattern test set generation for combinational and full scan circuits. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
14Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Effects of delay models on peak power estimation of VLSI sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF variable delay, sustainable power, n-cycle power, peak power, genetic optimization
14Haluk Konuk Fault simulation of interconnect opens in digital CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault simulation, opens, breaks
14Jian-Kun Zhao, Elizabeth M. Rudnick, Janak H. Patel Static logic implication with application to redundancy identification. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF static logic implication, redundancy identification, set algebra, indirect implications, static learning algorithm, redundancy, iterative method, redundant faults
14Chennian Di, Jochen A. G. Jess An efficient CMOS bridging fault simulator: with SPICE accuracy. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Zaifu Zhang, Robert D. McLeod, Gregory E. Bridges Statistical estimation of delay fault detectabilities and fault grading. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF transition delay and path delay faults, statistical delay fault analysis, fault detectabilities, fault coverage, random patterns
14Masahiro Fujita Verification of Arithmetic Circuits by Comparing Two Similar Circuits. Search on Bibsonomy CAV The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Dennis J. Ciplickas, Ronald A. Rohrer Expected current distributions for CMOS circuits. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF switching current, expected waveform, mean estimation, static analysis, statistical analysis, CMOS, autocorrelation, random processes, power spectral density
14Terry Lee, Ibrahim N. Hajj, Elizabeth M. Rudnick, Janak H. Patel Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF GA-based test generators, CMOS VLSI circuits, I/sub DDQ/ current testing, CMOS digital circuits, two-line bridging fault set, compact test set generation, genetic algorithms, VLSI, logic testing, integrated circuit testing, ATPG, automatic test pattern generator, automatic testing, fault location, bridging faults, CMOS digital integrated circuits, adaptive genetic algorithm
14S. Sundaram, Lalit M. Patnaik Distributed logic simulation: time-first evaluation vs. event driven algorithms. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF distributed logic simulation, time-first evaluation algorithm, event driven algorithm, digital circuit simulation, distributed simulation algorithms, parallel algorithms, parallel processing, VLSI, logic CAD, circuit analysis computing, integrated logic circuits, VLSI circuits, parallel logic simulation
Displaying result #1 - #100 of 105 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license