The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase deep-submicron (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1989-1996 (25) 1997 (17) 1998 (34) 1999 (49) 2000 (53) 2001 (50) 2002 (96) 2003 (77) 2004 (94) 2005 (79) 2006 (76) 2007 (50) 2008 (53) 2009 (29) 2010-2011 (20) 2012-2014 (25) 2015-2019 (17) 2020-2023 (10)
Publication types (Num. hits)
article(217) book(1) inproceedings(629) phdthesis(7)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 512 occurrences of 319 keywords

Results
Found 854 publication records. Showing 854 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
94N. Venkateswaran 0002, S. Balaji, V. Sridhar Fault tolerant bus architecture for deep submicron based processors. Search on Bibsonomy SIGARCH Comput. Archit. News The full citation details ... 2005 DBLP  DOI  BibTeX  RDF deep submicron technology, fault tolerance, interconnect, electromigration
80Chih-Wen Lu, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen Is IDDQ testing not applicable for deep submicron VLSI in year 2011? Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deep submicron VLSI, IDDQ current estimation, random process deviations, IDDQ distributions, VLSI, statistical analysis, integrated circuit testing, CMOS integrated circuits, leakage currents, IDDQ testing, statistical approach, standard deviation, input vectors, circuit size
75Li-Rong Zheng 0001, Hannu Tenhunen Noise Margin Constraints for Interconnectivity in Deep Submicron Low Power and Mixed-Signal VLSI Circuits. Search on Bibsonomy ARVLSI The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Mixed-Signal VLSI, Interconnection, Crosstalk, Noise Margin
74Michael Nicolaidis Time Redundancy Based Soft-Error Tolerance to Rescue Nanometer Technologies. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Very deep submicron, soft-errors, single event upsets, fault tolerant design
67Mohammad Tehranipoor, Kenneth M. Butler Guest Editors' Introduction: IR Drop in Very Deep-Submicron Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF PSN, IR drop, power supply noise, deep-submicron designs
67Ilia Polian, Sandip Kundu, Jean-Marc Gallière, Piet Engelke, Michel Renovell, Bernd Becker 0001 Resistive Bridge Fault Model Evolution from Conventional to Ultra Deep Submicron Technologies. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Deep submicron technology modeling, Resistive bridging faults
67Lorena Anghel, Michael Nicolaidis, Issam Alzaher-Noufal Self-Checking Circuits versus Realistic Faults in Very Deep Submicron. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Concurrent checking, self–checking circuits, timing faults, very deep submicron, hardware fault tolerance, soft errors, defects, nanometer technologies
67Rosa Rodríguez-Montañés, Joan Figueras Estimation of the Defective IDDQ Caused by Shorts in Deep-Submicron CMOS ICs. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF IDDQ testability, CMOS, deep-submicron
67Stephan P. Athan, David L. Landis, Sami A. Al-Arian A novel built-in current sensor for IDDQ testing of deep submicron CMOS ICs. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF deep submicron CMOS ICs, fault diagnosability, ULSI CMOS, fault diagnosis, integrated circuit testing, fault detectability, CMOS integrated circuits, leakage currents, built-in current sensor, I/sub DDQ/ testing, electric current measurement, ULSI, electric sensing devices
61Adrian Maxim, M. Gheorghe A novel physical based model of deep-submicron CMOS transistors mismatch for Monte Carlo SPICE simulation. Search on Bibsonomy ISCAS (5) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
61Mohamed A. Elgamel, Sumeer Goel, Magdy A. Bayoumi Noise tolerant low voltage XOR-XNOR for fast arithmetic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF XOR-XNOR circuits, multipliers, noise tolerant, deep submicron, nanometer technology
53S. Yoshitomi Challenges to Accuracy for the Design of Deep-Submicron RF-CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 130 nm, RF-CMOS analog circuits, MOSFET models, EKV3.0 model, electro magnetic effects, building blocks, deep submicron
53Vipul Singhal, C. B. Keshav, K. G. Surnanth, P. R. Suresh Transistor Flaring in Deep Submicron-Design Considerations. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Deep Submicron (DSM), pullback, photolithography, Subwavelength-lithography, Optical Proximity Correction (OPC), SPICE-models, standard-ce1l library, Design for Manufacturability (DFM)
53Rahul Kumar, C. P. Ravikumar Leakage Power Estimation for Deep Submicron Circuits in an ASIC Design Environment. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Power Estimation, Leakage Power, Linear Regression, Deep Submicron
53Anton Chichkov, Dirk Merlier, Peter Cox Current Testing Procedure for Deep Submicron Devices. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF ASIC testing, IDDQ, deep submicron
52Patrick Schaumont, David D. Hwang Turning liabilities into assets: Exploiting deep submicron CMOS technology to design secure embedded circuits. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Paul-Peter Sotiriadis, Anantha P. Chandrakasan A bus energy model for deep submicron technology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Yonghee Im, Kaushik Roy 0001 O2ABA: a novel high-performance predictable circuit architecture for the deep submicron era. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Y. Tsiatouhas, Th. Haniotakis, Dimitris Nikolos, Angela Arapoyanni Extending the Viability of IDDQ Testing in the Deep Submicron Era. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Naresh R. Shanbhag, Krishnamurthy Soumyanath, Samuel Martin Reliable low-power design in the presence of deep submicron noise (embedded tutorial session). Search on Bibsonomy ISLPED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
47Lun Ye, Foong-Charn Chang, Peter Feldmann, Rakesh Chadha, Nagaraj Ns, Frank Cano Chip-Level Verification for Parasitic Coupling Effects in Deep-Submicron Digital Designs. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
47Ming-Dou Ker, Chung-Yu Wu, Tao Cheng, Hun-Hsien Chang Capacitor-couple ESD protection circuit for deep-submicron low-voltage CMOS ASIC. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
47J. Will Specks, Walter L. Engl Computer-aided design and scaling of deep submicron CMOS. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
47Antonio Pullini, Federico Angiolini, Srinivasan Murali, David Atienza, Giovanni De Micheli, Luca Benini Bringing NoCs to 65 nm. Search on Bibsonomy IEEE Micro The full citation details ... 2007 DBLP  DOI  BibTeX  RDF network on chip, low-power design, power management, multicore architectures, on-chip interconnection networks, design aids, deep submicron design
47Karim Arabi, Resve A. Saleh, Xiongfei Meng Power Supply Noise in SoCs: Metrics, Management, and Measurement. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF metrics, DFT, power supply noise, deep-submicron, production test, power integrity
47Nallamothu Satyanarayana, Madhu Mutyam, A. Vinaya Babu Exploiting on-chip data behavior for delay minimization. Search on Bibsonomy SLIP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coding, crosstalk, deep-submicron
47Farshad Moradi, Hamid Mahmoodi-Meimand, Ali Peiravi A high speed and leakage-tolerant domino logic for high fan-in gates. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high speed, noise immunity, deep submicron, fan-in, domino
47Masaharu Goto, Toshinori Sato Leakage Energy Reduction in Register Renaming. Search on Bibsonomy ICDCS Workshops The full citation details ... 2004 DBLP  DOI  BibTeX  RDF super-scalar processors, embedded processors, register renaming, deep submicron, Leakage energy
47Toshinari Takayanagi, Jinuk Luke Shin, Bruce Petrick, Jeffrey Y. Su, Ana Sonia Leon A dual-core 64b ultraSPARC microprocessor for dense server applications. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF L2, UltraSPARC, coupling noise, deep submicron technology, dense server, dual-core, throughput computing, cache, multiprocessor, leakage, NBTI, negative bias temperature instability
47Mohamed A. Elgamel, Tarek Darwish, Magdy A. Bayoumi Noise Tolerant Low Power Dynamic TSPCL D Flip-Flops. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, power, flip-flop, deep submicron
47Seung Hoon Choi, Bipul Chandra Paul, Kaushik Roy 0001 Dynamic Noise Analysis with Capacitive and Inductive Coupling. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF capacitiance, dynamic noise margin, crosstalk, inductance, noise analysis, deep submicron, noise model
47Sarma B. K. Vrudhula, David T. Blaauw, Supamas Sirichotiyakul Estimation of the likelihood of capacitive coupling noise. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF noise, signal integrity, deep submicron
47Abby A. Ilumoka Efficient prediction of interconnect crosstalk using neural networks. Search on Bibsonomy ICTAI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF interconnect crosstalk prediction, deep submicron downscaling, wirecells, modular artificial neural networks, multiparadigm prototyping system, equicoupling contours, isocouples, transconductance amplifier, neural networks, delays, delay, finite element method, neural nets, crosstalk, experimental results, circuit CAD, finite element analysis, circuit simulation, circuit simulator, integrated circuit interconnections, wafer-scale integration, wafer scale integration
47Yi-Min Jiang, Angela Krstic, Kwang-Ting Cheng Dynamic Timing Analysis Considering Power Supply Noise Effects. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF dynamic timing analysis, input pattern dependent, power supply noise, deep submicron designs
47Anirudh Devgan Efficient coupled noise estimation for on-chip interconnects. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF coupled noise estimation, dynamic logic circuit families, noise criticality pruning, physical design based noise avoidance, circuit simulation, on-chip interconnects, Elmore delay, noise analysis, timing simulation, integrated circuit noise, deep submicron design
42José Luis Rosselló, Jaume Segura 0001 A Compact Propagation Delay Model for Deep-Submicron CMOS Gates including Crosstalk. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Jan Schat Fault Clustering in deep-submicron CMOS Processes. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Tino Heijmen Soft Error Rates in Deep-Submicron CMOS Technologies. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Palkesh Jain, D. Vinay Kumar, J. M. Vasi, Mahesh B. Patil Evaluation of Non-Quasi-Static Effects during SEU in Deep-Submicron MOS Devices and Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Zhao Li, C.-J. Richard Shi An Efficiently Preconditioned GMRES Method for Fast Parasitic-Sensitive Deep-Submicron VLSI Circuit Simulation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Tino Heijmen Analytical Semi-Empirical Model for SER Sensitivity Estimation of Deep-Submicron CMOS Circuits. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Xiaojun Li 0001, Bing Huang, J. Qin, X. Zhang, Michael Talmor, Z. Gur, Joseph B. Bernstein Deep Submicron CMOS Integrated Circuit Reliability Simulation with SPICE. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Geoff V. Merrett, Bashir M. Al-Hashimi Leakage Power Analysis and Comparison of Deep Submicron Logic Gates. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Peter Caputa, Henrik Fredriksson, Martin Hansson, Stefan Back Andersson, Atila Alvandpour, Christer Svensson An Extended Transition Energy Cost Model for Buses in Deep Submicron Technologies. Search on Bibsonomy PATMOS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Nattawut Thepayasuwan, Alex Doboli Layout Conscious Bus Architecture Synthesis for Deep Submicron Systems on Chip. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Mandeep Bamal, Evelyn Grossar, Michele Stucchi, Karen Maex Interconnect width selection for deep submicron designs using the table lookup method. Search on Bibsonomy SLIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF interconnect sizing, power-delay trade-off, wire sizing
33Annie (Yujuan) Zeng, Kenneth Rose, Ronald J. Gutmann Cache Array Architecture Optimization at Deep Submicron Technologies. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Mohamed Abbas, Makoto Ikeda, Kunihiro Asada Noise Effects on Performance of Low Power Design Schemes in Deep Submicron Regime. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Ming-Dou Ker, Wen-Yi Chen Design to Avoid the Over-Gate-Driven Effect on ESD Protection Circuits in Deep-Submicron CMOS Processes. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Mongkol Ekpanyapong, Jacob R. Minz, Thaisiri Watewai, Hsien-Hsin S. Lee, Sung Kyu Lim Profile-guided microarchitectural floorplanning for deep submicron processor design. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF microarchitectural planning, computer architecture, floorplanning
33Lei Wang 0003, Naresh R. Shanbhag Energy-efficiency bounds for deep submicron VLSI systems in the presence of noise. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Jing-Jia Liou, Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng Modeling, testing, and analysis for delay defects and noise effects in deep submicron devices. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Tim Schoenauer, Jörg Berthold, Christoph Heer Reduced Leverage of Dual Supply voltages in Ultra Deep Submicron Technologies. Search on Bibsonomy PATMOS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33James Lin Design technology challenges for system and chip level designs in very deep submicron technologies. Search on Bibsonomy CODES+ISSS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Nattawut Thepayasuwan, Vaishali Damle, Alex Doboli Bus Architecture Synthesis for Hardware-Software Co-Design of Deep Submicron Systems on Chip. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Zeynep Toprak Deniz, Yusuf Leblebici Design and realization of a modular 200 MSample/s 12-bit pipelined A/D converter block using deep-submicron digital CMOS technology. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Michele Favalli, Marcello Dalpasso Bridging fault modeling and simulation for deep submicron CMOS ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Xavier Aragonès, José Luis González 0001, Francesc Moll, Antonio Rubio 0001 Noise Generation and Coupling Mechanisms in Deep-Submicron ICs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Luís Miguel Silveira, Nuno Vargas Characterizing Substrate Coupling in Deep-Submicron Designs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Michele Favalli, Cecilia Metra Online Testing Approach for Very Deep-Submicron ICs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Cristian Constantinescu Impact of Deep Submicron Technology on Dependability of VLSI Circuits. Search on Bibsonomy DSN The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Se-Hyun Yang, Michael D. Powell, Babak Falsafi, T. N. Vijaykumar Exploiting Choice in Resizable Cache Design to Optimize Deep-Submicron Processor Energy-Delay. Search on Bibsonomy HPCA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF resizable cache design, low power processor, energy aware architecture
33Mohammad M. Mansour, Naresh R. Shanbhag Simplified current and delay models for deep submicron CMOS digital circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
33Michael D. Powell, Se-Hyun Yang, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar Reducing leakage in a high-performance deep-submicron instruction cache. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Manoj Sachdev Current-Based Testing for Deep-Submicron VLSIs. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Se-Hyun Yang, Michael D. Powell, Babak Falsafi, Kaushik Roy 0001, T. N. Vijaykumar An Integrated Circuit/Architecture Approach to Reducing Leakage in Deep-Submicron High-Performance I-Caches. Search on Bibsonomy HPCA The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Pirouz Bazargan-Sabet, Fabrice Ilponse A Model for Crosstalk Noise Evaluation in Deep Submicron Processes. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
33Ali Keshavarzi, Kaushik Roy 0001, Charles F. Hawkins Intrinsic leakage in deep submicron CMOS ICs-measurement-based test solutions. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Dennis Sylvester, Kurt Keutzer A global wiring paradigm for deep submicron design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Zemo Yang, Samiha Mourad Crosstalk in Deep Submicron DRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Noise and Submicron, Crosstalk, DRAM
33Kamran Eshraghian Deep Submicron USLI Design Paradigm: Who is Writing the Future? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Carlo Guardiani, Andrzej J. Strojwas Design-Manufacturing Interface in the Deep Submicron: Is Technology Independent Design Dead? Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Rafi Levy, David T. Blaauw, Gabi Braca, Aurobindo Dasgupta, Amir Grinshpon, Chanhee Oh, Boaz Orshav, Supamas Sirichotiyakul, Vladimir Zolotov ClariNet: a noise analysis tool for deep submicron design. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
33Kenneth L. Shepard, Vinod Narayanan, Ron Rose Harmony: static noise analysis of deep submicron digital integrated circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Amir H. Salek, Jinan Lou, Massoud Pedram An integrated logical and physical design flow for deep submicron circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Todd M. Austin DIVA: A Reliable Substrate for Deep Submicron Microarchitecture Design. Search on Bibsonomy MICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Li-Rong Zheng 0001, Hannu Tenhunen Effective power and ground distribution scheme for deep submicron high speed VLSI circuits. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Rajamohana Hegde, Naresh R. Shanbhag Lower bounds on energy dissipation and noise-tolerance for deep submicron VLSI. Search on Bibsonomy ISCAS (6) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Th. Calin, Lorena Anghel, Michael Nicolaidis Built-In Current Sensor for IDDQ Testing in Deep Submicron CMOS. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
33Jason Cong, Lei He 0001 An efficient technique for device and interconnect optimization in deep submicron designs. Search on Bibsonomy ISPD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Jay Abraham Power calculation and modeling in deep submicron. Search on Bibsonomy ISLPED The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33N. S. Nagaraj, Kenneth L. Shepard, Takahide Inone Taming Noise in Deep Submicron Digital Integrated Circuits (Panel). Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Hugo De Man Education for the Deep Submicron Age: Business as Usual? Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
33Mohamed A. Imam, Mohamed A. Osman, Ashraf A. Osman MOSFET global modeling for deep submicron devices with a modified BSIM1 SPICE model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
33Kenneth L. Shepard, Vinod Narayanan Noise in deep submicron digital design. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF static analysis, noise, crosstalk, inductance, CMOS circuits, noise margins
33Franco Venturi, R. Kent Smith, Enrico Sangiorgi, Mark R. Pinto, Bruno Riccò A general purpose device simulator coupling Poisson and Monte Carlo transport with applications to deep submicron MOSFETs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
33Mehrdad Nourani, Arun Radhakrishnan Testing On-Die Process Variation in Nanometer VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer VLSI, ultra deep-submicron, fast Fourier transform, process variation, frequency domain, ring oscillator
33Kaushik Roy 0001, T. M. Mak, Kwang-Ting (Tim) Cheng Test Consideration for Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF deep-submicron test, delay test, statistical timing, nanometer technologies
33Ken Butler Conference Reports: 2005 International Test Conference. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF low-cost ATE, very-deep submicron tecnology, yield ramping, International Test Conference, ITC 2005
33Naresh R. Shanbhag Reliable and energy-efficient digital signal processing. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reliability, communications, low-power, energy-efficiency, noise, broadband, noise-tolerance, deep submicron
33Amir H. Farrahi, David J. Hathaway, Maogang Wang, Majid Sarrafzadeh Quality of EDA CAD Tools: Definitions, Metrics and Directions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Design Quality Metrics, Integrated Design Exploration, Incremental Synthesis, Layout-Driven Synthesis' System-Level Interconnect Prediction and Planning, Tool Interoperability, Deep Submicron Designs
33Mariagrazia Graziano, Marco Delaurenti, Guido Masera, Gianluca Piccinini, Maurizio Zamboni Noise Safety Design Methodologies. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Electromigration Safety, Deep-submicron Design Reliability, Noise, Noise Tolerance, Noise Models
27Laurent Sauvage, Sylvain Guilley, Yves Mathieu Electromagnetic Radiations of FPGAs: High Spatial Resolution Cartography and Attack on a Cryptographic Module. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF EMA, security, FPGA, DPA, SCA, cartography
27Prateek Mishra, Anish Muttreja, Niraj K. Jha Low-power FinFET circuit synthesis using multiple supply and threshold voltages. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Low-power, linear programming, synthesis, TCMS
27Nishant Chandra, Apoorva Kumar Yati, A. B. Bhattacharyya Extended-Sakurai-Newton MOSFET Model for Ultra-Deep-Submicrometer CMOS Digital Design. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27T. Venkata Kalyan, Madhu Mutyam, Vijaya Sankara Rao Pasupureddi Exploiting Variable Cycle Transmission for Energy-Efficient On-Chip Interconnect Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Preetham Lakshmikanthan, Adrian Nunez A Novel Methodology to Reduce Leakage Power in CMOS Complementary Circuits. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Markus Bühler, Jürgen Koehl, Jeanne Bickford, Jason Hibbeler, Ulf Schlichtmann, Ralf Sommer, Michael Pronath, Andreas Ripp DFM/DFY design for manufacturability and yield - influence of process variations in digital, analog and mixed-signal circuit design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Ramyanshu Datta, Gary D. Carpenter, Kevin J. Nowka, Jacob A. Abraham A Scheme for On-Chip Timing Characterization. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Uday Reddy Bandi, Murty Dasaka, Pavan K. Kumar Design in reliability for communication designs. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF electro migration, nanometer design challenges, self heat, reliability, EDA tools
Displaying result #1 - #100 of 854 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license