The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase scan-path (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1990 (17) 1991-1995 (20) 1996-1998 (16) 1999-2001 (16) 2002-2004 (19) 2005-2006 (15) 2007-2010 (19) 2012-2017 (18) 2018-2022 (14)
Publication types (Num. hits)
article(47) inproceedings(107)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 214 occurrences of 136 keywords

Results
Found 154 publication records. Showing 154 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
83Nilabha Dev, Sandeep Bhatia, Subhasish Mukherjee, Sue Genova, Vinayak Kadam A Partitioning Based Physical Scan Chain Allocation Algorithm that Minimizes Voltage Domain Crossings. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
73Jason P. Hurst, Nick Kanopoulos Flip-flop sharing in standard scan path to enhance delay fault testing of sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF flip-flop sharing, standard scan path, standard scan path design, two-vector test sets, VLSI, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, sequential circuits, flip-flops, integrated logic circuits, sequential machines, delay fault testing
73Kuen-Jong Lee, Tsung-Chu Huang An Interleaving Technique for Reducing Peak Power in Multiple-Chain Scan Circuits During Test Application. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiple scan chains, interleaving scan, test power reduction, peak power reduction
73Kuen-Jong Lee, Tsung-Chu Huang, Jih-Jeen Chen Peak-power reduction for multiple-scan circuits during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF peak-power reduction, multiple scan chain based circuits, peak periodicity, peak width, power waveforms, scan-based circuits, delay buffers, interleaving scan technique, data output, logic testing, logic testing, delays, integrated circuit testing, application specific integrated circuits, SOC, boundary scan testing
62Seongmoon Wang, Srimat T. Chakradhar A scalable scan-path test point insertion technique to enhance delay fault coverage for standard scan designs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
62Bhargab B. Bhattacharya, Sharad C. Seth, Sheng Zhang 0008 Double-Tree Scan: A Novel Low-Power Scan-Path Architecture. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
62Seongmoon Wang, Srimat T. Chakradhar A Scalable Scan-Path Test Point Insertion Technique to Enhance Delay Fault Coverage for Standard Scan Designs. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
61Samantha Edirisooriya, Geetani Edirisooriya Diagnosis of scan path failures. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF scan path failures, scan based diagnostic schemes, faulty circuits, logic circuitry, scan chain fault diagnosis, fault diagnosis, logic testing, integrated circuit testing, design for testability, combinational circuits, integrated logic circuits
60Nur A. Touba, Edward J. McCluskey Applying two-pattern tests using scan-mapping. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF scan-mapping, combinational mapping logic, logic testing, built-in self test, built-in self-testing, fault coverage, delay faults, pseudo-random testing, deterministic testing, two-pattern tests
60Hadi Esmaeilzadeh, Saeed Shamshiri, Pooya Saeedi, Zainalabedin Navabi ISC: Reconfigurable Scan-Cell Architecture for Low Power Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
60Mohammad Alisafaee, Safar Hatami, Ehsan Atoofian, Zainalabedin Navabi, Ali Afzali-Kusha A low-power scan-path architecture. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
55C. P. Ravikumar, Rajamani Rajarajan Genetic Algorithms for Scan Path Design. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
53Seiken Yano Unified scan design with scannable memory arrays. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF unified scan design, scannable memory arrays, single scan path, scan operation time, scannable register file, fault diagnosis, design for testability, design-for-testability, automatic testing, logic CAD, flip-flops, flip-flops, arrays, shift registers, integrated memory circuits
48Roberto Bevacqua, Luca Guerrazzi, Franco Fummi SCAN/BIST Techniques for Decreasing Test Storage and their implications to Test Pattern Generation. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF test storage, scan-path techniques, Built-In Self Test, design for testability, Design for Testability, BIST, test pattern generation, SCAN, test sequences
48Yoshihiro Konno, Kazushi Nakamura, Tatsushige Bitoh, Koji Saga, Seiken Yano A Consistent Scan Design System for Large-Scale ASICs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
45Shih Ping Lin 0001, Chung-Len Lee 0001, Jwu E. Chen A Scan Matrix Design for Low Power Scan-Based Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
45Weiwei Mao, Michael D. Ciletti Reducing correlation to improve coverage of delay faults in scan-path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
44Víctor H. Champac, Joan Figueras Testability of floating gate defects in sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF floating gate defect testability, logic detectability conditions, defective transistors, logically untestable branches, scan path cell, CMOS latch cell, scan path flip-flops, fault diagnosis, logic testing, integrated circuit testing, sequential circuits, sequential circuits, simulated results, flip-flops, CMOS logic circuits, integrated circuit modelling, I/sub DDQ/ testing
40Michael Nicolaidis, O. Kebichi, Vladimir Castro Alves Trade-offs in scan path and BIST implementations for RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF RAM test algorithms, BIST, Aliasing, signature analysis, scan path, coupling faults
40Yehezkel Yeshurun, Eric L. Schwartz Shape Description with a Space-Variant Sensor: Algorithms for Scan-Path, Fusion, and Convergence Over Multiple Scans. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF space-variant sensor, fixation points, multiscan view, boundary curvature, computer vision, convergence, computerised pattern recognition, fusion, machine vision, image sensors, image sensors, scan-path
38Arno Kunzmann, Hans-Joachim Wunderlich An analytical approach to the partial scan problem. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF partial scan path, sequential test generation, design for testability
38Sunggu Lee, Kang G. Shin Design for test using partial parallel scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
38Robert B. Norwood, Edward J. McCluskey High-Level Synthesis for Orthogonal Sca. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
37Haruhiko Takeuchi, Yoshiko Habuchi A Quantitative Method for Analyzing Scan Path Data Obtained by Eye Tracker. Search on Bibsonomy CIDM The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
37Makoto Ikeda, Hideyuki Aoki, Kunihiro Asada DVDT: Design for Voltage Drop Test Using Onchip-Voltage Scan Path. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
35Mathew D. Hunter, Quoc Hao Mach, Ratvinder Singh Grewal 0001 The relationship between scan path direction and cognitive processing. Search on Bibsonomy C3S2E The full citation details ... 2010 DBLP  DOI  BibTeX  RDF QEEG, human-computer interaction, eye tracking, cognitive processing
33Ozgur Sinanoglu Scan-in and Scan-out Transition Co-optimization Through Modelling Generalized Serial Transformations. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Test power reduction, Scan power reduction, Serial transformations, Scan chain modification, Design for testability, Core-based testing
33Ozgur Sinanoglu, Alex Orailoglu Scan Power Minimization through Stimulus and Response Transformations. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
33Robert B. Norwood, Edward J. McCluskey Synthesis-for-scan and scan chain ordering. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF synthesis-for-scan procedure, scan chain ordering, testable circuit design, beneficial scan, VLSI, logic testing, integrated circuit testing, design for testability, logic design, sequential circuits, logic synthesis, flip-flops, integrated circuit design, integrated logic circuits, test strategy, boundary scan testing, functional specifications
31Minoru Nakayama, Yosiyuki Takahasi Estimation of certainty for responses to multiple-choice questionnaires using eye movements. Search on Bibsonomy ACM Trans. Multim. Comput. Commun. Appl. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF scan-path analysis, support vector machines, Eye-movements, certainty
31Masayuki Tsukisaka, Takashi Nanya A testable design for asynchronous fine-grain pipeline circuits. Search on Bibsonomy PRDC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF asynchronous fine-grain pipeline circuits, dynamic gates, high-performance datapath design, pipeline latches, scan latch libraries, logic testing, logic CAD, SPICE, CMOS technology, scan path, SPICE simulation, testable design
30T. A. García, Antonio J. Acosta 0001, J. M. Mora, J. Ramos, José Luis Huertas Self-Timed Boundary-Scan Cells for Multi-Chip Module Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF self-timed CMOS design, testing interconnections, boundary-scan, MCM testing
30Michael Gössel, Egor S. Sogomonyan, Adit D. Singh Scan-Path with Directly Duplicated and Inverted Duplicated Registers. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
28Shibaji Banerjee, Dipanwita Roy Chowdhury, Bhargab B. Bhattacharya An Efficient Scan Tree Design for Compact Test Pattern Set. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Varun Arora, Indranil Sengupta 0001 A Unified Approach to Partial Scan Design using Genetic Algorithm. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Jeff Klingner Fixation-aligned pupillary response averaging. Search on Bibsonomy ETRA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fixation-aligned pupillary response, pupillometry, task-evoked pupillary response, eye tracking, scan path, fixation
26Zahari M. Darus, Iftekhar Ahmed 0003, Liakot Ali A test processor chip implementing multiple seed, multiple polynomial linear feedback shift register. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test processor chip, multiple polynomial linear feedback shift register, ASIC chip, scan-path testing, external IC tester, simulation, fault coverage, shift registers, pattern generator, multiple seed
25Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Gated Clock Scheme for Low Power Scan Testing of Logic ICs or Embedded Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Hans-Joachim Wunderlich, Gundolf Kiefer Bit-flipping BIST. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-model BIST
24Dilip K. Bhavsar Scan Wheel - A Technique for Interfacing a High Speed Scan-Path with a Slow Speed Tester. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Chih-Chang Lin, Malgorzata Marek-Sadowska, Mike Tien-Chien Lee, Kuang-Chien Chen Cost-free scan: a low-overhead scan path design. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Chih-Chang Lin, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Kuang-Chien Chen Cost-free scan: a low-overhead scan path design methodology. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Testing, DFT, Scan design
23Mohammad Hosseinabady, Shervin Sharifi, Fabrizio Lombardi, Zainalabedin Navabi A Selective Trigger Scan Architecture for VLSI Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay Testing, Test Compression, Test Application Time, Scan Test, Test Data Volume, Test Power
23David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre A secure scan design methodology. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Liyang Lai, Janak H. Patel, Thomas Rinderknecht, Wu-Tung Cheng Logic BIST with Scan Chain Segmentation. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
22Slavisa Jovanovic, Camel Tanougast, Serge Weber A Hardware Preemptive Multitasking Mechanism Based on Scan-path Register Structure for FPGA-based Reconfigurable Systems. Search on Bibsonomy AHS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Stefan R. Meier, Mario Steinert, Steffen Buch Testability of path history memories with register-exchange architecture used in Viterbi-decoders. Search on Bibsonomy ISCAS (3) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch A Gated Clock Scheme for Low Power Scan-Based BIST. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Marie-Lise Flottes, R. Pires, Bruno Rouzeyre, Laurent Volpe Scanning Datapaths: A Fast and Effective Partial Scan Selection Technique. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Scan chain selection, RT and gate levels, Partial scan, Synthesis for testability
20Hans-Joachim Wunderlich, Sybille Hellebrand The pseudoexhaustive test of sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Lea Schubart Analysis of the Scan Path Using Online Newspapers - Path Comparison Between User and Expert. Search on Bibsonomy ISI The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
18Anthony Martinet, Jean Martinet, Nacim Ihaddadene, Stanislas Lew, Chabane Djeraba Analyzing eye fixations and gaze orientations on films and pictures. Search on Bibsonomy ACM Multimedia The full citation details ... 2008 DBLP  DOI  BibTeX  RDF user, eye gaze, dispersion, film, scan path, picture, eye fixation
18Rameshsharma Ramloll, Cheryl Trepagnier, Marc M. Sebrechts, Jaishree Beedasy Gaze Data Visualization Tools: Opportunities and Challenge. Search on Bibsonomy IV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF gaze data, in-context visualization and nets, animation, direct manipulation, sonification, visualization tools, saccade, scan-path, fixation
18Jeffrey B. Mulligan A software-based eye tracking system for the study of air-traffic displays. Search on Bibsonomy ETRA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Head and eye tracking, air traffic displays, scan-path analysis, image registration
18Vladimir Castro Alves, A. Ribeiro Antunes, Meryem Marzouki A Pragmatic, Systematic And Flexible Synthesis For Testability Methodology. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF high-level synthesis for testability, systematic flexible synthesis, testability methodology, industrial tools, pragmatic synthesis, AMICAL synthesis, programmable test pattern generation, BUS-based circuit, embedded test paths, high level synthesis, design for testability, BIST, automatic generation, data path, scan path
18Slawomir Pilarski, André Ivanov, Tiko Kameda On minimizing aliasing in scan-based compaction. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF built-in self-test, linear feedback shift register, signature analysis, Aliasing probability, scan path, test response compaction
18J. H. Dick, Erwin Trischler, Chryssa Dislis, Anthony P. Ambler Sensitivity analysis in economics based test strategy planning. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Costing parameters, sensitivity analysis, Monte Carlo method, scan path
18Jacob Savir, Robert F. Berry AC strength of a pattern generator. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF AC test, level sensitive scan design, test pattern generator, scan path
18Rajesh Gupta 0003, Rajiv Gupta 0002, Melvin A. Breuer The BALLAST Methodology for Structured Partial Scan Design. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF scan path storage elements, Ballast methodology, structured partial scan design, balanced structure scant test, testability properties, combinatorial automatic test pattern generation, logic testing, sequential circuits, automatic testing, combinatorial circuits
18Donald T. Tang, Lin S. Woo Exhaustive Test Pattern Generation with Constant Weight Vectors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1983 DBLP  DOI  BibTeX  RDF fault testing, multilevel logic, logic testing, test pattern generation, self-testing, VLSI testing, scan path, Constant weight codes, exhaustive testing
18Thomas W. Williams, Kenneth P. Parker Design for Testability - A Survey. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1982 DBLP  DOI  BibTeX  RDF Built-In Logic Block Observation (BILBO), Level Sensitive Scan Design (LSSD), Random Access Scan, Scan/Set Logic, testing, test generation, self test, Signature Analysis, Scan Path
18David Hély, Frédéric Bancel, Marie-Lise Flottes, Bruno Rouzeyre Securing Scan Control in Crypto Chips. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Crypto-chips, Security, Scan
18Yannick Bonhomme, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel A Gated Clock Scheme for Low Power Testing of Logic Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test-per-scan, test-per-clock, low power design, low power test
18Michael Nicolaidis, Yervant Zorian Scaling Deeper to Submicron: On-Line Testing to the Rescue. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
15Philipp J. Stolka, Michel Waringo, Dominik Henrich, Steffen H. Tretbar, Philipp A. Federspil Robot-Based 3D Ultrasound Scanning and Registration with Infrared Navigation Support. Search on Bibsonomy ICRA The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
15Sang-Woo Ban, Minho Lee 0001 Novelty Analysis in Dynamic Scene for Autonomous Mental Development. Search on Bibsonomy ICANN (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
15Sang-Bok Choi, Sang-Woo Ban, Minho Lee 0001 Human-Like Selective Attention Model with Reinforcement and Inhibition Mechanism. Search on Bibsonomy ICONIP The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Dara Koozekanani, Kim L. Boyer, Cynthia Roberts, Steven Katz Tracking the Optic Nerve Head in OCT Video Using Dual Eigenspaces and an Adaptive Vascular Distribution Model. Search on Bibsonomy CVPR (1) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
15Andrzej Krasniewski, Slawomir Pilarski Circular Self-Test Path: A Low-Cost BIST Technique. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
15Ayan Palchaudhuri, Digvijay Anand, Anindya Sundar Dhar FPGA fabric conscious architecture design and automation of speed-area efficient Margolus neighborhood based cellular automata with variegated scan path insertion. Search on Bibsonomy J. Parallel Distributed Comput. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Wolfgang Fuhl HPCGen: Hierarchical K-Means Clustering and Level Based Principal Components for Scan Path Genaration. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  BibTeX  RDF
15Wolfgang Fuhl, Enkelejda Kasneci HPCGen: Hierarchical K-Means Clustering and Level Based Principal Components for Scan Path Genaration. Search on Bibsonomy ETRA The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
15Ayan Palchaudhuri, Sandeep Sharma, Anindya Sundar Dhar Design Automation for Tree-based Nearest Neighborhood-aware Placement of High-speed Cellular Automata on FPGA with Scan Path Insertion. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Srikrishnaraja Mahadas, Courtney Semkewyc, Shradha Suresh, George K. Hung Scan path during change-detection visual search. Search on Bibsonomy Comput. Biol. Medicine The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Abderrezzaq Sendjasni, Mohamed-Chaker Larabi, Faouzi Alaya Cheikh Perceptually-Weighted Cnn For 360-Degree Image Quality Assessment Using Visual Scan-Path And Jnd. Search on Bibsonomy ICIP The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
15Ayan Palchaudhuri, Sandeep Sharma, Anindya Sundar Dhar Placement Aware Design and Automation of High Speed Architectures for Tree-Structured Linear Cellular Automata on FPGAs with Scan Path Insertion. Search on Bibsonomy FPGA The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
15Suiyi Ling, Jesús Gutiérrez 0001, Ke Gu 0001, Patrick Le Callet Prediction of the Influence of Navigation Scan-Path on Perceived Quality of Free-Viewpoint Videos. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Yang Zhang 0033, Kai Tang 0001 Automatic Sweep Scan Path Planning for Five-Axis Free-Form Surface Inspection Based on Hybrid Swept Area Potential Field. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Tsuyoshi Iwagaki, Sho Yuasa, Hideyuki Ichihara, Tomoo Inoue An Empirical Approach to RTL Scan Path Design Focusing on Structural Interpretation in Logic Synthesis. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Hsien Chih Chuang, Da Lun Tang Reconfirm gestalt principles from scan-path analysis on viewing photos. Search on Bibsonomy ISPACS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Suiyi Ling, Jesús Gutiérrez 0001, Ke Gu 0001, Patrick Le Callet Prediction of the Influence of Navigation Scan-path on Perceived Quality of Free-Viewpoint Videos. Search on Bibsonomy CoRR The full citation details ... 2018 DBLP  BibTeX  RDF
15Wan-Ting Sun, Feng-Ru Sheu, Meng-Jung Tsai Understanding Inquiry-Based Searching Behaviors Using Scan Path Analysis: A Pilot Study. Search on Bibsonomy ICITL The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Li-Ming Zhao, Xin-Wei Li, Wei-Long Zheng, Bao-Liang Lu Active Feedback Framework with Scan-Path Clustering for Deep Affective Models. Search on Bibsonomy ICONIP (2) The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
15Marc Assens, Kevin McGuinness, Xavier Giró-i-Nieto, Noel E. O'Connor SaltiNet: Scan-path Prediction on 360 Degree Images using Saliency Volumes. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
15Pawel Kasprowski, Katarzyna Harezlak, Pawel Fudalej, Piotr Fudalej Examining the Impact of Dental Imperfections on Scan-Path Patterns. Search on Bibsonomy KES-IDT (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Frode Eika Sandnes, Fausto Orsi Medola Effects of Optimizing the Scan-Path on Scanning Keyboards with QWERTY-Layout for English Text. Search on Bibsonomy AAATE Conf. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Marc Assens, Xavier Giró-i-Nieto, Kevin McGuinness, Noel E. O'Connor SaltiNet: Scan-Path Prediction on 360 Degree Images Using Saliency Volumes. Search on Bibsonomy ICCV Workshops The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
15Zi Zhou, Yang Zhang 0033, Kai Tang 0001 Sweep scan path planning for efficient freeform surface inspection on five-axis CMM. Search on Bibsonomy Comput. Aided Des. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Pallavi Raiturkar, Andrew Lee, Eakta Jain Scan path and movie trailers for implicit annotation of videos. Search on Bibsonomy SAP The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Junghwan Kim, Young-Woo Lee, Minho Cheong, Sungyoul Seo, Sungho Kang A test methodology to screen scan-path failures. Search on Bibsonomy ISOCC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
15Arash Ashrafi, Ramachandran Vaidyanathan An Architecture for Configuring an Effcient Scan Path for a Subset of Elements. Search on Bibsonomy IPDPS Workshops The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
15Suleyman Al-Showarah, Naseer Al-Jawad, Harin Sellahewa Effects of User Age on Smartphone and Tablet Use, Measured with an Eye-Tracker via Fixation Duration, Scan-Path Duration, and Saccades Proportion. Search on Bibsonomy HCI (5) The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Bowen Wu, Qiangzhi Zhang, Pengfei Ye, Qinghua Huang A Kinect-based scan path planning method for ultrasound imaging. Search on Bibsonomy BMEI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Lijuan Duan, Zeming Zhao, Wei Ma, Jili Gu, Zhen Yang 0004, Yuanhua Qiao A combined model for scan path in pedestrian searching. Search on Bibsonomy IJCNN The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Michael Raschke, Dominik Herr, Tanja Blascheck, Thomas Ertl, Michael Burch, Sven Willmann, Michael Schrauf A visual approach for scan path comparison. Search on Bibsonomy ETRA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Michael Raschke, Dominik Herr, Tanja Blascheck, Thomas Ertl, Michael Burch, Sven Willmann, Michael Schrauf A visual approach for scan path comparison. Search on Bibsonomy ETRA The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
15Yuto Goto, Hironobu Fujiyoshi Recovering 3-D gaze scan path and scene structure from inside-out camera. Search on Bibsonomy AH The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Salvador Manich, Markus S. Wamser, Oscar M. Guillen, Georg Sigl Differential scan-path: A novel solution for secure design-for-testability. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Haruhiko Takeuchi, Noriyuki Matsuda Scan-path analysis by the string-edit method considering fixation duration. Search on Bibsonomy SCIS&ISIS The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
15Michael Raschke, Xuemei Chen, Thomas Ertl Parallel scan-path visualization. Search on Bibsonomy ETRA The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 154 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license