The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for subthreshold with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1982-1994 (20) 1995-1998 (15) 1999-2000 (19) 2001 (25) 2002 (23) 2003 (26) 2004 (41) 2005 (53) 2006 (76) 2007 (76) 2008 (62) 2009 (59) 2010 (46) 2011 (40) 2012 (47) 2013 (38) 2014 (36) 2015 (42) 2016 (42) 2017 (42) 2018 (42) 2019 (43) 2020 (36) 2021 (27) 2022 (27) 2023 (27) 2024 (4)
Publication types (Num. hits)
article(472) incollection(7) inproceedings(551) phdthesis(4)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 310 occurrences of 197 keywords

Results
Found 1034 publication records. Showing 1034 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
127Vita Pi-Ho Hu, Yu-Sheng Wu, Ming-Long Fan, Pin Su, Ching-Te Chuang Design and analysis of ultra-thin-body SOI based subthreshold SRAM. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF poisson's equation, subthreshold SRAM, ultra-thin-body, soi, static noise margin
106Bo Zhai, Scott Hanson, David T. Blaauw, Dennis Sylvester Analysis and mitigation of variability in subthreshold design. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF max of lognormal RVs, subthreshold variability
96Jeremy R. Tolbert, Xin Zhao 0001, Sung Kyu Lim, Saibal Mukhopadhyay Slew-aware clock tree design for reliable subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF slew, clocks, subthreshold
86Sumanth Amarchinta, Dhireesha Kudithipudi Performance enhancement of subthreshold circuits using substrate biasing and charge-boosting buffers. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF charge-boosters, subthreshold design, biasing
83Tony Tae-Hyoung Kim, Hanyong Eom, John Keane 0001, Chris H. Kim Utilizing reverse short channel effect for optimal subthreshold circuit design. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF PVT variations, reverse short channel effect, optimization, digital circuits, subthreshold circuits, subthreshold operation
83Benton H. Calhoun, Anantha P. Chandrakasan Characterizing and modeling minimum energy operation for subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF minimum energy point, subthreshold model, energy model, subthreshold circuits
80John Keane 0001, Hanyong Eom, Tony Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim Subthreshold logical effort: a systematic framework for optimal subthreshold device sizing. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF subthreshold logic, ultra-low power design, logical effort
78David T. Blaauw, Bo Zhai Energy efficient design for subthreshold supply voltage operation. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
78Hendrawan Soeleman, Kaushik Roy 0001, Bipul Chandra Paul Robust subthreshold logic for ultra-low power operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
75Biswajit Mishra, Bashir M. Al-Hashimi Subthreshold FIR Filter Architecture for Ultra Low Power Applications. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subthreshold design, Minimum Energy Point, Ultra Low Power Design, Leakage, FIR
75Songqing Zhang, Vineet Wason, Kaustav Banerjee A probabilistic framework to estimate full-chips subthreshold leakage power distribution considering within-die and die-to-die P-T-V variations. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF die-to-die variations, electrothermal couplings, subthreshold leakage power distribution, yield estimation, process variations, within-die variations
67Rodrigo Jaramillo-Ramirez, Javid Jaffari, Mohab Anis Variability-aware design of subthreshold devices. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
65Scott Hanson, Bo Zhai, David T. Blaauw, Dennis Sylvester, Andres Bryant, Xinlin Wang Energy optimality and variability in subthreshold design. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF ultra-low energy, variability, subthreshold circuits
65Jabulani Nyathi, Brent Bero Logic circuits operating in subthreshold voltages. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF logic styles, medium-to-high speed, off current, ultra-low power, noise margins, subthreshold, body biasing
62Arijit Raychowdhury, Bipul Chandra Paul, Swarup Bhunia, Kaushik Roy 0001 Computing with subthreshold leakage: device/circuit/architecture co-design for ultralow-power subthreshold operation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57Belén Sancristóbal, José M. Sancho, Jordi García-Ojalvo Resonant Spike Propagation in Coupled Neurons with Subthreshold Activity. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
57Vahid Moalemi, Ali Afzali-Kusha Subthreshold Pass Transistor Logic for Ultra-Low Power Operation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
57Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy 0001 A Feasibility Study of Subthreshold SRAM Across Technology Generations. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
57L. Darrell Whitley, Keith Bush, Jonathan E. Rowe Subthreshold-Seeking Behavior and Robust Local Search. Search on Bibsonomy GECCO (2) The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Volkan Kursun, Eby G. Friedman Node Voltage Dependent Subthreshold Leakage Current Characteristics of Dynamic Circuits. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
57Ming-Jer Chen, Jib-Shin Ho A three-parameters-only MOSFET subthreshold current CAD model considering back-gate bias and process variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
54David Bol, Dina Kamel, Denis Flandre, Jean-Didier Legat Nanometer MOSFET effects on the minimum-energy point of 45nm subthreshold logic. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF short-channel effects, subthreshold logic, variability, cmos digital integrated circuits, ultra-low power, gate leakage
54Lei Zhang 0033, Zhiping Yu, Xiangqing He A Statistical Characterization of CMOS Process Fluctuations in Subthreshold Current Mirrors. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF CMOS Process Fluctuations, Subthreshold Current Mirror, Discrete Martingale, Probability, Random Variable
54Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate leakage current, nanometer-scale CMOS circuits, supply switching, ground collapse, standard-cell elements, 45 nm, 65 nm, power gating, subthreshold leakage current, 90 nm
52Stefan Reinker, Ernest Puil, Robert M. Miura Membrane Resonance and Stochastic Resonance Modulate Firing Patterns of Thalamocortical Neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF membrane resonance, thalamic neurons, noise, stochastic resonance, neuron model
52Chris Hyung-Il Kim, Hendrawan Soeleman, Kaushik Roy 0001 Ultra-low-power DLMS adaptive filter for hearing aid applications. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Bo Zhai, Ronald G. Dreslinski, David T. Blaauw, Trevor N. Mudge, Dennis Sylvester Energy efficient near-threshold chip multi-processing. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF near-threshold, energy efficient, CMP, subthreshold
49Zhiyu Liu, Volkan Kursun Leakage current starved domino logic. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, domino logic, subthreshold leakage current, dual threshold voltage
47John Keane 0001, Hanyong Eom, Tony Tae-Hyoung Kim, Sachin S. Sapatnekar, Chris H. Kim Stack Sizing for Optimal Current Drivability in Subthreshold Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Håvard Pedersen Alstad, Snorre Aunet Improving Circuit Security against Power Analysis Attacks with Subthreshold Operation. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
47Tony Tae-Hyoung Kim, John Keane 0001, Hanyong Eom, Chris H. Kim Utilizing Reverse Short-Channel Effect for Optimal Subthreshold Circuit Design. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Mingoo Seok, Scott Hanson, Dennis Sylvester, David T. Blaauw Analysis and Optimization of Sleep Modes in Subthreshold Circuit Design. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Scott Hanson, Mingoo Seok, Dennis Sylvester, David T. Blaauw Nanometer Device Scaling in Subthreshold Circuits. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
47Horacio G. Rotstein, Tim Oppermann, John A. White, Nancy Kopell The dynamic structure underlying subthreshold oscillatory activity and the onset of spikes in a model of medial entorhinal cortex stellate cells. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Reduction of dimensions, Canard, Generalized integrate-and-fire models, Theta rhythm, Hopf bifurcation
47Walid Elgharbawy, Pradeep Golconda, Ashok Kumar 0001, Magdy A. Bayoumi A new gate-level body biasing technique for PMOS transistors in subthreshold CMOS circuits. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Bhavana Jharia, Sankar Sarkar, Rajendra Prasad Agarwal Analytical Study of Impact Ionization and Subthreshold Current in Submicron n-MOSFET. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Leyla Nazhandali, Bo Zhai, Javin Olson, Anna Reeves, Michael Minuth, Ryan Helfand, Sanjay Pant, Todd M. Austin, David T. Blaauw Energy Optimization of Subthreshold-Voltage Sensor Network Processors. Search on Bibsonomy ISCA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47James T. Kao, Siva G. Narendra, Anantha P. Chandrakasan Subthreshold leakage modeling and reduction techniques. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
47Teresa Serrano-Gotarredona, Bernabé Linares-Barranco, Andreas G. Andreou A general subthreshold MOS translinear theorem. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
44David Bol, Denis Flandre, Jean-Didier Legat Technology flavor selection and adaptive techniques for timing-constrained 45nm subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF adaptive circuits, subthreshold logic, variability, CMOS digital integrated circuits, ultra-low power
44Michael B. Henry, Syed Imtiaz Haider, Leyla Nazhandali A low-power parallel design of discrete wavelet transform using subthreshold voltage technology. Search on Bibsonomy CASES The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low power, parallel, wavelet, subthreshold
44Yuto Nakamura, Kazuhiro Tsuboi, Osamu Hoshino Lateral Excitation between Dissimilar Orientation Columns for Ongoing Subthreshold Membrane Oscillations in Primary Visual Cortex. Search on Bibsonomy ICANN (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Lateral excitation, Feature binding, Orientation map, Ongoing subthreshold membrane oscillation, Neural network model, Primary visual cortex
44Luiz Alberto Pasini Melek, Márcio C. Schneider, Carlos Galup-Montoro Body-bias compensation technique for SubThreshold CMOS static logic gates. Search on Bibsonomy SBCCI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF body-bias compensation, static logic, low-power, CMOS, logic circuits, subthreshold
42Julie S. Haas, Alan D. Dorval II, John A. White Contributions of I h to feature selectivity in layer II stellate cells of the entorhinal cortex. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Inward rectifier, Spike-triggered covariance, Single-neuron computation, Reliability, Principal component analysis, Information theory
42Myeong-Eun Hwang, Tamer Cakici, Kaushik Roy 0001 Interactive presentation: Process tolerant beta-ratio modulation for ultra-dynamic voltage scaling. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Cristina Masoller, M. C. Torrent, Jordi García-Ojalvo Neuronal Multistability Induced by Delay. Search on Bibsonomy ICANN (1) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
42Liam Paninski The most likely voltage path and large deviations approximations for integrate-and-fire neurons. Search on Bibsonomy J. Comput. Neurosci. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Stochastic dynamics, Freidlin-Wentzell, Intracellular recordings, Calculus of variations, Likelihood
42Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner Theoretical and practical limits of dynamic voltage scaling. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF minimum energy point, dynamic voltage scaling
42Xinghai Tang, Vivek De, James D. Meindl Intrinsic MOSFET parameter fluctuations due to random dopant placement. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
39Yu-Shiang Lin, Dennis Sylvester Runtime leakage power estimation technique for combinational circuits. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF subthreshold leakage analysis, runtime leakage power estimation technique, dynamic estimation methods, static estimation methods, combinational circuits, error estimation, SPICE simulations
39Zhiyu Liu, Volkan Kursun Leakage Biased Sleep Switch Domino Logic. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, sleep mode, Domino logic, subthreshold leakage current, dual threshold voltage
39Amir Amirabadi, Javid Jaffari, Ali Afzali-Kusha, Mehrdad Nourani, Ali Khaki-Firooz Leakage current reduction by new technique in standby mode. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF subthreshold current, low power, leakage current, digital integrated circuits, static power
39Kiyoo Itoh 0001 Low-voltage memories for power-aware systems. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF DRAM and SRAM cells, gain cells, gate-source/substrate-source back-biasing, memory-rich architectures, multi-Vr, non-volatile RAMs, on-chip voltage converters, peripheral circuits, subthreshold current, testing
36Jeremy R. Tolbert, Saibal Mukhopadhyay Accurate buffer modeling with slew propagation in subthreshold circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Farshad Moradi, Dag T. Wisland, Hamid Mahmoodi, Ali Peiravi, Snorre Aunet, Tuan Vu Cao New subthreshold concepts in 65nm CMOS technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Michael B. Henry, Leyla Nazhandali Hybrid Super/Subthreshold Design of a Low Power Scalable-Throughput FFT Architecture. Search on Bibsonomy HiPEAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
36Youngsoo Shin, Sewan Heo, Hyung-Ock Kim, Jung Yun Choi Supply Switching With Ground Collapse: Simultaneous Control of Subthreshold and Gate Leakage Current in Nanometer-Scale CMOS Circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Vahid Moalemi, Ali Afzali-Kusha Subthreshold 1-Bit Full Adder Cells in sub-100 nm Technologies. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Niklas Lotze, Maurits Ortmanns, Yiannos Manoli A Study on self-timed asynchronous subthreshold logic. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Jinhui Chen, Lawrence T. Clark, Yu Cao Robust Design of High Fan-In/Out Subthreshold Circuits. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Keng Hoong Wee, Ji-Jon Sit, Rahul Sarpeshkar Biasing techniques for subthreshold MOS resistive grids. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Hongchin Lin, Chao-Jui Liang A sub-1V bandgap reference circuit using subthreshold current. Search on Bibsonomy ISCAS (5) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
36Walid Elgharbawy, Magdy A. Bayoumi New Bulk Dynamic Threshold NMOS Schemes for Low-Energy Subthreshold Domino-Like Circui. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Nazareth P. Castellanos, Francisco de Borja Rodríguez Ortiz, Pablo Varona Stochastic Networks with Subthreshold Oscillations and Spiking Activity. Search on Bibsonomy IWANN (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
36Alice Wang, Anantha P. Chandrakasan, Stephen V. Kosonocky Optimal Supply and Threshold Scaling for Subthreshold CMOS Circuits. Search on Bibsonomy ISVLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
36Dingming Xie, Mengzhang Cheng, Leonard Forbes SPICE models for flicker noise in n-MOSFETs from subthreshold tostrong inversion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
34Koichi Hamamoto, Masanori Hashimoto, Yukio Mitsuyama, Takao Onoye Tuning-friendly body bias clustering for compensating random variability in subthreshold circuits. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF body bias clustering, performance compensation, layout, manufacturing variability, subthreshold circuits
34Kristian Granhaug, Snorre Aunet Improving Yield and Defect Tolerance in Subthreshold CMOS Through Output-Wired Redundancy. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Subthreshold CMOS, Output-wired redundancy, Yield and defect tolerance
34Hendrawan Soeleman, Kaushik Roy 0001 Ultra-low power digital subthreshold logic circuits. Search on Bibsonomy ISLPED The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ultra-low power, digital logic, subthreshold circuits
31Jung Hwan Choi, Aditya Bansal, Mesut Meterelliyoz, Jayathi Murthy, Kaushik Roy 0001 Self-Consistent Approach to Leakage Power and Temperature Estimation to Predict Thermal Runaway in FinFET Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Bo Zhai, David T. Blaauw, Dennis Sylvester, Krisztián Flautner The limit of dynamic voltage scaling and insomniac dynamic voltage scaling. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Nam Sung Kim, David T. Blaauw, Trevor N. Mudge Quantitative analysis and optimization techniques for on-chip cache leakage power. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Le Yan, Jiong Luo, Niraj K. Jha Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31José Antonio Villacorta-Atienza, Fivos Panetsos Information coding by ensembles of resonant neurons. Search on Bibsonomy Biol. Cybern. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Shengqi Yang, Wayne H. Wolf, Narayanan Vijaykrishnan, Yuan Xie 0001, Wenping Wang Accurate Stacking Effect Macro-Modeling of Leakage Power in Sub-100nm Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Márta Rencz, Vladimír Székely, S. Török, Kholdoun Torki, Bernard Courtois IDDQ Testing of Submicron CMOS - by Cooling? Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF submicron, cooling, I DDQ testing
31Azeez J. Bhavnagarwala, Blanca Austin, Ashok Kapoor, James D. Meindl CMOS system-on-a-chip voltage scaling beyond 50nm. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Rupert Howes, William Redman-White, Ken G. Nichols, Peter J. Mole, Michael J. Robinson, Simon Bird An SOS MOSFET model based on calculation of the surface potential. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31W. W. Wong, Juin J. Liou JFET circuit simulation using SPICE implemented with an improved model. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
31Hiroyoshi Tanimoto, Naoyuki Shigyo Discretization error in MOSFET device simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
31David M. Lewis Device model approximation using 2N trees. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
31Te-Kuang Chiang Nanosheet FET: A new subthreshold current model caused by interface-trapped-charge and its application for evaluation of subthreshold logic gate. Search on Bibsonomy Microelectron. J. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
31Arun Kumar, P. S. T. N. Srinivas, Pramod Kumar Tiwari Analytical Modeling of Subthreshold Current and Subthreshold Swing of Schottky-Barrier Source/Drain Double Gate-All-Around (DGAA) MOSFETs. Search on Bibsonomy iSES The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
31Ramesh Vaddi, R. P. Agarwal, Sudeb Dasgupta Analytical modeling of subthreshold current and subthreshold swing of an underlap DGMOSFET with tied-independent gate and symmetric-asymmetric options. Search on Bibsonomy Microelectron. J. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
31Idan Segev התנהגות פוטנציאלים תת-סיפיים בתא עצב בעל ממברנה אילינארית (The behavior of Subthreshold potentials in a neuron with a nonlinear membrane.; The behavior of subthreshold potentials in a neuron with a nonlinear membrane.). Search on Bibsonomy 1982   RDF
29Hamed F. Dadgour, Muhammad Mustafa Hussain, Casey Smith, Kaustav Banerjee Design and analysis of compact ultra energy-efficient logic gates using laterally-actuated double-electrode NEMS. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF energy-efficient electronics, laterally-actuated NEMS, nano-electro-mechanical switches, steep-subthreshold switch, logic design, process variation
29Kyung Ki Kim, Yong-Bin Kim, Minsu Choi, Nohpill Park Leakage Minimization Technique for Nanoscale CMOS VLSI. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF nanometer CMOS, cell characterization, gate-tunneling current, input pattern generation, leakage power, subthreshold leakage current
29Hassan Hassan 0001, Mohab Anis, Mohamed I. Elmasry A Timing-Driven Algorithm for Leakage Reduction in MTCMOS FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF 0.13 micron, timing-driven algorithm, MTCMOS FPGA, MTCMOS CAD methodology, subthreshold leakage power reduction, nanometer FPGA, circuit timing information, CMOS process
29Myeong-Eun Hwang, Seong-Ook Jung, Kaushik Roy 0001 Slope interconnect effort: gate-interconnect interdependentdelay model for CMOS logic gates. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF signal slope, interconnect, gate delay, subthreshold operation
29T. M. Mak, Sani R. Nassif Guest Editors' Introduction: Process Variation and Stochastic Design and Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF silicon manufacturing processes, adaptive circuits, process variation, process monitoring, subthreshold leakage
29Scott Hanson, Dennis Sylvester, David T. Blaauw A new technique for jointly optimizing gate sizing and supply voltage in ultra-low energy circuits. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF voltage scaling, gate sizing, subthreshold circuits
29Lara D. Oliver, Krishnendu Chakrabarty, Hisham Z. Massoud An evaluation of the impact of gate oxide tunneling on dual-Vt-based leakage reduction techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate oxide tunneling, low power circuit design, subthreshold leakage, dual threshold voltage
29Walid Elgharbawy, Pradeep Golconda, Magdy A. Bayoumi Noise-tolerant high fan-in dynamic CMOS circuit design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high fan-in domino, CMOS, noise-tolerant, subthreshold leakage, dynamic circuits
29Paul Beckett Low-power circuits using dynamic threshold devices. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF silicide, thin-body, CMOS, nanotechnology, SOI, subthreshold leakage, double-gate
29Saibal Mukhopadhyay, Kaushik Roy 0001 Modeling and estimation of total leakage current in nano-scaled CMOS devices considering the effect of parameter variation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF band-to-band tunneling, variability, Monte Carlo, threshold voltage, gate leakage, subthreshold leakage
29David Scott, Shaoping Tang, Song Zhao, Mahalingam Nandakumar Device Physics Impact on Low Leakage, High Speed DSP Design Techniques (invited). Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF standby, GIDL, leakage, tunneling, subthreshold, current
29Claude Thibeault A novel probabilistic approach for IC diagnosis based on differential quiescent current signatures. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF IC diagnosis, probabilistic differential quiescent current signature, noise source, embedded logic, robustness, maximum likelihood estimation, maximum likelihood estimation, IDDQ testing, subthreshold leakage current
29Andreas G. Andreou, Kwabena A. Boahen A 590, 000 transistor 48, 000 pixel, contrast sensitive, edge enhancing, CMOS imager-silicon retina. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF silicon retina, analog VLSI focal plane processor, phototransduction, local gain control, single chip system, vertebrate distal retina, ultra low power dissipation, n-well double metal double poly digital oriented CMOS technology, current-mode subthreshold CMOS, 48000 pixel, computer vision, VLSI, edge detection, CMOS integrated circuits, image sensors, contrast, edge enhancement, CMOS imager, focal planes, area efficiency, 1.2 micron
26Pooya Jannaty, Florian C. Sabou, R. Iris Bahar, Joseph L. Mundy, William R. Patterson, Alexander Zaslavsky Numerical queue solution of thermal noise-induced soft errors in subthreshold CMOS devices. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF CMOS logic devices, reliability, Markov process, monte carlo method, poisson distribution, laplace transform
26Ken Ueno, Tetsuya Hirose, Tetsuya Asai, Yoshihito Amemiya A 300 nW, 7 ppm/degreeC CMOS voltage reference circuit based on subthreshold MOSFETs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1034 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license