The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SRAM with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1983-1994 (30) 1995 (18) 1996 (19) 1997 (25) 1998 (32) 1999 (43) 2000 (50) 2001 (40) 2002 (62) 2003 (68) 2004 (98) 2005 (127) 2006 (147) 2007 (183) 2008 (186) 2009 (145) 2010 (148) 2011 (173) 2012 (181) 2013 (156) 2014 (197) 2015 (163) 2016 (196) 2017 (185) 2018 (163) 2019 (192) 2020 (175) 2021 (192) 2022 (192) 2023 (242) 2024 (52)
Publication types (Num. hits)
article(1429) data(1) incollection(2) inproceedings(2434) phdthesis(14)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 989 occurrences of 488 keywords

Results
Found 3882 publication records. Showing 3880 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
42Mohammed Shareef I, Pradeep Nair, Bharadwaj Amrutur Energy Reduction in SRAM using Dynamic Voltage and Frequency Management. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay Monitor, DVFM, Pareto optimal curve, Replica circuits, SRAM, Energy reduction, Energy monitor
42Saibal Mukhopadhyay, Keunwoo Kim, Ching-Te Chuang Design and analysis of Thin-BOX FD/SOI devices for low-power and stable SRAM in sub-50nm technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FD/SOI, low-power, stability, SRAM
42Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, Kaushik Roy 0001 Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive source biasing, hold failures, low power SRAM
42Rouwaida Kanj, Rajiv V. Joshi, Sani R. Nassif Mixture importance sampling and its application to the analysis of SRAM designs in the presence of rare failure events. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical performance analysis, SRAM, yield prediction
42Kanak Agarwal, Sani R. Nassif Statistical analysis of SRAM cell stability. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling, reliability, stability, SRAM, noise margin
42Qikai Chen, Hamid Mahmoodi-Meimand, Swarup Bhunia, Kaushik Roy 0001 Modeling and Testing of SRAM for New Failure Mechanisms Due to Process Variations in Nanoscale CMOS. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Failure mechanixm, Process Variation, DFT, SRAM, March Test
42Josh Yang, Baosheng Wang, André Ivanov Open Defects Detection within 6T SRAM Cells using a No Write Recovery Test Mode. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF 6T SRAM, Area Penalty, Write Recovery, Memory testing, Test Time, Open Defects
40Jawar Singh, Jimson Mathew, Saraju P. Mohanty, Dhiraj K. Pradhan Single Ended Static Random Access Memory for Low-Vdd, High-Speed Embedded Systems. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Tsu-Ming Liu, Chen-Yi Lee Design of an H.264/AVC Decoder with Memory Hierarchy and Line-Pixel-Lookahead. Search on Bibsonomy J. Signal Process. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF prediction, memory hierarchy, H.264/AVC, lookahead
40Rajesh Garg, Peng Li 0001, Sunil P. Khatri Modeling dynamic stability of SRAMS in the presence of single event upsets (SEUs). Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Sherif A. Tawfik, Volkan Kursun Compact FinFET Memory Circuits with P-Type Data Access Transistors for Low Leakage and Robust Operation. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Sumesh Udayakumaran, Angel Dominguez, Rajeev Barua Dynamic allocation for scratch-pad memory using compile-time decisions. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF embedded systems, compiler, Memory allocation, software caching, scratch pad, software-managed cache
39Daeyeon Kim, Yoonmyung Lee, Jin Cai, Isaac Lauer, Leland Chang, Steven J. Koester, Dennis Sylvester, David T. Blaauw Low power circuit design based on heterojunction tunneling transistors (HETTs). Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF SRAM design, low power applications, tunneling transistor
38Minki Cho, Jason Schlessman, Wayne H. Wolf, Saibal Mukhopadhyay Accuracy-aware SRAM: a reconfigurable low power SRAM architecture for mobile multimedia applications. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
38Mahadevan Gomathisankaran, Akhilesh Tyagi WARM SRAM: A Novel Scheme to Reduce Static Leakage Energy in SRAM Arrays. Search on Bibsonomy ISVLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente, Ramon Canal, Pedro López 0001, José Duato An hybrid eDRAM/SRAM macrocell to implement first-level data caches. Search on Bibsonomy MICRO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF retention time, static and dynamic memory cells, leakage current
35Yu-Hsun Lin, Xuan-Yi Lin, Yeh-Ching Chung Reducing Leakage Power of JPEG Image on Asymmetric SRAM. Search on Bibsonomy CSE (2) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35S. Lakshminarayanan, J. Joung, Giri Narasimhan, Ravi Kapre, M. Slanina, J. Tung, Morgan Whately, C.-L. Hou, W.-J. Liao, S.-C. Lin, P.-G. Ma, C.-W. Fan, M.-C. Hsieh, F.-C. Liu, K.-L. Yeh, W.-C. Tseng, S. W. Lu Standby power reduction and SRAM cell optimization for 65nm technology. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35Soner Yaldiz, Umut Arslan, Xin Li 0001, Larry T. Pileggi Efficient statistical analysis of read timing failures in SRAM circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
35D. E. Khalil, Muhammad M. Khellah, Nam-Sung Kim, Yehea I. Ismail, Tanay Karnik, Vivek K. De Accurate Estimation of SRAM Dynamic Stability. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Kanak Agarwal, Sani R. Nassif The Impact of Random Device Variation on SRAM Cell Stability in Sub-90-nm CMOS Technologies. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Hoang Le, Weirong Jiang, Viktor K. Prasanna Scalable high-throughput SRAM-based architecture for IP-lookup using FPGA. Search on Bibsonomy FPL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Lara Dolecek, Masood Qazi, Devavrat Shah, Anantha P. Chandrakasan Breaking the simulation barrier: SRAM evaluation through norm minimization. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
35Kunhyuk Kang, Haldun Kufluoglu, Kaushik Roy 0001, Muhammad Ashraful Alam Impact of Negative-Bias Temperature Instability in Nanoscale SRAM Array: Modeling and Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Eze Kamanu, Pratapa Reddy, Kenneth Hsu, Marcin Lukowiak A New Architecture for Single-Event Detection & Reconfiguration of SRAM-based FPGAs. Search on Bibsonomy HASE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Balkaran S. Gill, Christos A. Papachristou, Francis G. Wolff Interactive presentation: A new asymmetric SRAM cell to reduce soft errors and leakage power in FPGA. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Minh Quang Do, Per Larsson-Edefors, Mindaugas Drazdziulis High-Accuracy Architecture-Level Power Estimation for Partitioned SRAM Arrays in a 65-nm CMOS BPTM Process. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
35Luca Sterpone, Massimo Violante A New Reliability-Oriented Place and Route Algorithm for SRAM-Based FPGAs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF transient fault injection, FPGA, reliability, place and route
35Josh Yang, Baosheng Wang, Yuejian Wu, André Ivanov Fast detection of data retention faults and other SRAM cell open defects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Qikai Chen, Saibal Mukhopadhyay, Aditya Bansal, Kaushik Roy 0001 Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Mohammad Sharifkhani, Shah M. Jahinuzzaman, Manoj Sachdev Dynamic Data Stability in SRAM Cells and Its Implications on Data Stability Tests. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Bin Zhang 0011, Ari Arapostathis, Sani R. Nassif, Michael Orshansky Analytical modeling of SRAM dynamic stability. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Chua-Chin Wang, Ching-Li Lee, Wun-Ji Lin A 4-Kb low power 4-T SRAM design with negative word-line gate drive. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35R. Venkatraman, R. Castagnetti, S. Ramesh 0004 The Statistics of Device Variations and its Impact on SRAM Bitcell Performance, Leakage and Stability. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Fadi J. Kurdahi, Ahmed M. Eltawil, Young-Hwan Park, Rouwaida Kanj, Sani R. Nassif System-Level SRAM Yield Enhancement. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Tan Soon-Hwei, Loh Poh-Yee, Mohd-Shahiman Sulaiman A Low-Power High-Speed 1-Mb CMOS SRAM. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Armin Wellig, Julien Zory Static Noise Margin Analysis of Sub-threshold SRAM Cells in Deep Sub-micron Technology. Search on Bibsonomy PATMOS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35E. Syam Sundar Reddy, Vikram Chandrasekhar, Milagros Sashikánth, V. Kamakoti 0001, Narayanan Vijaykrishnan Cluster-based detection of SEU-caused errors in LUTs of SRAM-based FPGAs. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Yingmin Li, Mark Hempstead, Patrick Mauro, David M. Brooks, Zhigang Hu, Kevin Skadron Power and thermal effects of SRAM vs. Latch-Mux design styles and clock gating choices. Search on Bibsonomy ISLPED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF architecture, power, temperature, clock gating
35Ankur Goel, Baquer Mazhari Gate Leakage and Its Reduction in Deep Submicron SRAM. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Chua-Chin Wang, Yih-Long Tseng, Hon-Yuan Leo, Ron Hu A 4-kB 500-MHz 4-T CMOS SRAM using low-VTHN bitline drivers and high-VTHP latches. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Bastian Hage-Hassan Resistive-Open Defects in Embedded-SRAM Core Cells: Analysis and March Test Solution. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Raymond A. Heald, Ping Wang Variability in sub-100nm SRAM designs. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35John C. Lach, Jason Brandon, Kevin Skadron A General Post-Processing Approach to Leakage Current Reduction in SRAM-Based FPGAs. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Paolo Bernardi, Matteo Sonza Reorda, Luca Sterpone, Massimo Violante On the Evaluation of SEU Sensitiveness in SRAM-Based FPGAs. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Huifang Qin, Yu Cao, Dejan Markovic, Andrei Vladimirescu, Jan M. Rabaey SRAM Leakage Suppression by Minimizing Standby Supply Voltage. Search on Bibsonomy ISQED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Andrei Pavlov, Manoj Sachdev, José Pineda de Gyvez AN SRAM Weak Cell Fault Model and a DFT Technique with a Programmable Detection Threshold. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Sergey Romanovsky, Arun Achyuthan, Sreedhar Natarajan, Wing Leung Leakage Reduction techniques in a 0.13um SRAM Cell. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Meenatchi Jagasivamani, Dong Sam Ha Development of a low-power SRAM compiler. Search on Bibsonomy ISCAS (4) The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
34Paul Zuber, Petr Dobrovolný, Miguel Miranda A holistic approach for statistical SRAM analysis. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF statistical SRAM analysis, process variability, yield prediction
34Maziar Goudarzi, Tohru Ishihara Instruction cache leakage reduction by changing register operands and using asymmetric sram cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF asymmetric sram, leakage, instruction cache, register renaming
34Sheng Lin 0006, Yong-Bin Kim, Fabrizio Lombardi A low leakage 9t sram cell for ultra-low power operation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sram cell, low power, nanotechnology, leakage power, static noise margin
34Young-Gu Kim, Soo-Hwan Kim, Hoon Lim, Sanghoon Lee, Keun-Ho Lee, Young-Kwan Park, Moon-Hyun Yoo The Statistical Failure Analysis for the Design of Robust SRAM in Nano-Scale Era. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Statistical failure analysis, DFM, SRAM
34Rouwaida Kanj, Rajiv V. Joshi, Keunwoo Kim, Richard Williams, Sani R. Nassif Statistical Evaluation of Split Gate Opportunities for Improved 8T/6T Column-Decoupled SRAM Cell Yield. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF decoupled design, 8T, 6T, stacked devices, stability, yield, sram, double gate
34Alexandre Ney, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian, Vincent Gouin An SRAM Design-for-Diagnosis Solution Based on Write Driver Voltage Sensing. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF write driver, design-for-diagnosis, diagnosis, SRAM
34Bastien Giraud, Amara Amara Read Stability and Write Ability Tradeoff for 6T SRAM Cells in Double-Gate CMOS. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF SRAM cell, Double Gate (DG), Static Noise Margin (SNM), Write Margin (WM)
34Rajiv V. Joshi, Rouwaida Kanj, Keunwoo Kim, Richard Q. Williams, Ching-Te Chuang A floating-body dynamic supply boosting technique for low-voltage sram in nanoscale PD/SOI CMOS technologies. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF booster circuit, low power, yield, SRAM
34Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Simone Borri, Magali Hage-Hassan Efficient March Test Procedure for Dynamic Read Destructive Fault Detection in SRAM Memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SRAM core-cell, resistive open defects, memory testing, March test, dynamic faults
34Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Resistive-open defect injection in SRAM core-cell: analysis and comparison between 0.13 µm and 90 nm technologies. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF SRAM memories, VDSM technologies, core-cell, test, march test, dynamic faults, defect analysis
34Navid Azizi, Andreas Moshovos, Farid N. Najm Low-leakage asymmetric-cell SRAM. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF low-leakage, low-power, SRAM, dual-Vt
34Ad J. van de Goor, J. E. Simonse Defining SRAM Resistive Defects and Their Simulation Stimuli. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Resistive defects, simulation stimuli, SRAM functional faults, SPICE simulation
33Ihsen Alouani, Hamzeh Ahangari, Özcan Özturk 0001, Smaïl Niar NS-SRAM: Neighborhood Solidarity SRAM for Reliability Enhancement of SRAM Memories. Search on Bibsonomy DSD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
32Yangyang Pan, Tong Zhang 0002 Improving VLIW Processor Performance Using Three-Dimensional (3D) DRAM Stacking. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
32Xiaoxia Wu, Jian Li 0059, Lixin Zhang 0002, Evan Speight, Ramakrishnan Rajamony, Yuan Xie 0001 Hybrid cache architecture with disparate memory technologies. Search on Bibsonomy ISCA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hybrid cache architecture, three-dimensional ic
32Mango Chia-Tso Chao, Hao-Yu Yang, Rei-Fu Huang, Shih-Chin Lin, Ching-Yu Chin Fault models for embedded-DRAM macros. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF memory testing, embedded DRAM
32Lushan Liu, Pradeep Nagaraj, Shambhu J. Upadhyaya, Ramalingam Sridhar Defect Analysis and Defect Tolerant Design of Multi-port SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-port SRAMs, Defect/fault tolerant design, Defect analysis
32Lushan Liu, Ramalingam Sridhar, Shambhu J. Upadhyaya A 3-port Register File Design for Improved Fault Tolerance on Resistive Defects in Core-Cells. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Sadagopan Srinivasan, Vinodh Cuppu, Bruce L. Jacob Transparent data-memory organizations for digital signal processors. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Viktor Pus, Jan Korenek Fast and scalable packet classification using perfect hash functions. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fpga, sram, packet classification
31Michael Yap San Min, Philippe Maurine, Magali Bastian, Michel Robert A Novel Dummy Bitline Driver for Read Margin Improvement in an eSRAM. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF dummy bitline driver, self-timed memory, low power, SRAM, statistical design
31Betty Prince Nanotechnology and emerging memories. Search on Bibsonomy SBCCI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF FeRAM, ferroelectric, floating body, nanocrystal, nitride storage, scaling issues, single electron memories, memory, variability, scaling, SRAM, MEMs, DRAM, flash, MRAM, phase change, non-volatile, molecular memory
31Amit Agarwal 0001, Kaushik Roy 0001 A noise tolerant cache design to reduce gate and sub-threshold leakage in the nanometer regime. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF diode, low leakage cache, SRAM, gate leakage
31Yen-Jen Chang, Chia-Lin Yang, Feipei Lai A power-aware SWDR cell for reducing cache write power. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF circuit-level, write power, low power, cache, SRAM
31Jian Liu, Rafic Z. Makki, Ayman I. Kayssi Dynamic Power Supply Current Testing of CMOS SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF transient power supply current (i DDT), transient current sensor, disturb fault, CMOS SRAM
30Tom Thomas, Brian W. Anthony Area, Performance, and Yield Implications of Redundancy in On-Chip Caches. Search on Bibsonomy ICCD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF cache, redundancy, microprocessor, yield, SRAM, yield enhancement, microprocessor design, embedded SRAM
30Chung-Len Lee, Horng Nan Chern, Min Shung Liao, Hui Min Wang On Designing of 4-Valued Memory with Double-Gate TFT. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF thin film transistors, 4-valued memory, double-gate TFT, 4 valued memory cell, double gate thin film transistor, double gate TFT, HSPICE simulation, resistor load, CMOS load basic block circuit, memory cell circuits, SRAM cell circuit, memory architecture, integrated circuit design, multivalued logic, SPICE, circuit design, multivalued logic circuits, SRAM chips, CMOS memory circuits, equivalent circuits, equivalent circuit
30Puneet Sawhney, Haroon Rasheed Static RAM generators with automated characterization techniques for a 0.5 micron triple-metal embedded array. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static RAM generators, automatic generator characterisation tool, triple-metal embedded array, metallized SRAMs, single-port static RAMs, dual-port static RAMs, user-defined size, 0.5 micron, application specific integrated circuits, integrated circuit design, circuit CAD, aspect ratio, ASIC design, SRAM chips, SRAM chips, module generators
30Ka-Ming Keung, Akhilesh Tyagi SRAM CP: A Charge Recycling Design Schema for SRAM. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Yohei Nakata, Shunsuke Okumura, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto 0.5-V operation variation-aware word-enhancing cache architecture using 7T/14T hybrid SRAM. Search on Bibsonomy ISLPED The full citation details ... 2010 DBLP  DOI  BibTeX  RDF fine-grain control, low power, cache memory, microarchitecture, variation, low voltage
27Luca Sterpone Timing Driven Placement for Fault Tolerant Circuits Implemented on SRAM-Based FPGAs. Search on Bibsonomy ARC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF fault tolerance, FPGA, Single Event Upset, Triple Modular Redundancy, Timing-driven Placement
27Kalyana C. Bollapalli, Rajesh Garg, Kanupriya Gulati, Sunil P. Khatri Low power and high performance sram design using bank-based selective forward body bias. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low power, high performance, body bias
27Shunsuke Okumura, Yusuke Iguchi, Shusuke Yoshimoto, Hidehiro Fujiwara, Hiroki Noguchi, Koji Nii, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 0.56-V 128kb 10T SRAM using column line assist (CLA) scheme. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Hidehiro Fujiwara, Shunsuke Okumura, Yusuke Iguchi, Hiroki Noguchi, Hiroshi Kawaguchi 0001, Masahiko Yoshimoto A 7T/14T Dependable SRAM and its Array Structure to Avoid Half Selection. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Ke Xu 0014, Chiu-sing Choy A Five-Stage Pipeline, 204 Cycles/MB, Single-Port SRAM-Based Deblocking Filter for H.264/AVC. Search on Bibsonomy IEEE Trans. Circuits Syst. Video Technol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Florian Bauer, Georg Georgakos, Doris Schmitt-Landsiedel A Design Space Comparison of 6T and 8T SRAM Core-Cells. Search on Bibsonomy PATMOS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Luca Sterpone, M. A. Aguirre, Jonathan Noel Tombs, Hipólito Guzmán-Miranda On the design of tunable fault tolerant circuits on SRAM-based FPGAs for safety critical applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Chenjie Gu, Jaijeet S. Roychowdhury An efficient, fully nonlinear, variability-aware non-monte-carlo yield estimation procedure with applications to SRAM cells and ring oscillators. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Weirong Jiang, Viktor K. Prasanna Parallel IP lookup using multiple SRAM-based pipelines. Search on Bibsonomy IPDPS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Weirong Jiang, Qingbo Wang, Viktor K. Prasanna Beyond TCAMs: An SRAM-Based Parallel Multi-Pipeline Architecture for Terabit IP Lookup. Search on Bibsonomy INFOCOM The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Baker Mohammad, Stephen Bijansky, Adnan Aziz, Jacob A. Abraham Adaptive SRAM memory for low power and high yield. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Houman Homayoun, Mohammad A. Makhzan, Alexander V. Veidenbaum ZZ-HVS: Zig-zag horizontal and vertical sleep transistor sharing to reduce leakage power in on-chip SRAM peripheral circuits. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Abhijit Sil, Eswar Prasad Kolli, Soumik Ghosh, Magdy A. Bayoumi High speed single-ended pseudo differential current sense amplifier for SRAM cell. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jungseob Lee, Lin Xie, Azadeh Davoodi A Dual-Vt low leakage SRAM array robust to process variations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jawar Singh, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty Failure analysis for ultra low power nano-CMOS SRAM under process variations. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Hao-I Yang, Ssu-Yun Lai, Wei Hwang Low-power floating bitline 8-T SRAM design with write assistant circuits. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Amith Singhee, Jiajing Wang, Benton H. Calhoun, Rob A. Rutenbar Recursive Statistical Blockade: An Enhanced Technique for Rare Event Simulation with Application to SRAM Circuit Design. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Maziar Goudarzi, Tohru Ishihara, Hamid Noori Variation-Aware Software Techniques for Cache Leakage Reduction Using Value-Dependence of SRAM Leakage Due to Within-Die Process Variation. Search on Bibsonomy HiPEAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF process variation, cache memory, Leakage power, power reduction
27Richard F. Hobson A New Single-Ended SRAM Cell With Write-Assist. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Luigi Dilillo, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Analysis and Test of Resistive-Open Defects in SRAM Pre-Charge Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Resistive-open defects, Pre-charge circuits, Memory testing, Dynamic faults
27Tiago R. Balen, Fernanda Lima Kastensmidt, Marcelo Lubaszewski, Michel Renovell Single Event Upset in SRAM-based Field Programmable Analog Arrays: Effects and Mitigation. Search on Bibsonomy ISVLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #101 - #200 of 3880 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license