The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for defects with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1961-1984 (16) 1985-1987 (15) 1988 (20) 1989-1990 (28) 1991-1992 (38) 1993 (22) 1994 (24) 1995 (46) 1996 (48) 1997 (59) 1998 (61) 1999 (74) 2000 (96) 2001 (125) 2002 (141) 2003 (184) 2004 (265) 2005 (255) 2006 (288) 2007 (329) 2008 (355) 2009 (215) 2010 (124) 2011 (116) 2012 (73) 2013 (94) 2014 (123) 2015 (108) 2016 (121) 2017 (132) 2018 (164) 2019 (185) 2020 (225) 2021 (267) 2022 (284) 2023 (303) 2024 (80)
Publication types (Num. hits)
article(2065) book(3) incollection(17) inproceedings(2975) phdthesis(42) proceedings(1)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 3212 occurrences of 1532 keywords

Results
Found 5103 publication records. Showing 5103 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
23Konstantinos Sagonas Detecting defects in Erlang programs using static analysis. Search on Bibsonomy PPDP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Bekir Dizdaroglu, Ali Gangal A Spatiotemporal Algorithm for Detection and Restoration of Defects in Old Color Films. Search on Bibsonomy ACIVS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Xiangdong Xuan, Adit D. Singh, Abhijit Chatterjee Lifetime Prediction and Design-for-Reliability of IC Interconnections with Electromigration Induced Degradation in the Presence of Manufacturing Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF IC reliability, reliability simulation, design for reliability, interconnect, electromigration, defect modeling
23N. G. Shankar, Z. W. Zhong Improved segmentation of semiconductor defects using area sieves. Search on Bibsonomy Mach. Vis. Appl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Area sieve, Discrete wavelet transform, Machine vision, Inspection, Semiconductor
23Yu Huang 0005, Keith Gallie Diagnosis of defects on scan enable and clock trees. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Fredrik Törner, Martin Ivarsson, Fredrik Pettersson, Peter Öhman Defects in automotive use cases. Search on Bibsonomy ISESE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF use case, automotive, empirical
23Charles C. Chiang, Jamil Kawa Three DFM Challenges: Random Defects, Thickness Variation, and Printability Variation. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Xiaoqing Wen, Hideo Tamamoto, Kewal K. Saluja, Kozo Kinoshita Fault Diagnosis of Physical Defects Using Unknown Behavior Model. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Chien-Mo James Li, Edward J. McCluskey Diagnosis of resistive-open and stuck-open defects in digital CMOS ICs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Nicola Campregher, Peter Y. K. Cheung, George A. Constantinides, Milan Vasilko Analysis of yield loss due to random photolithographic defects in the interconnect structure of FPGAs. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF FPGA redundancy, interconnect faults, fault tolerance, yield enhancement, interconnect model, yield prediction, catastrophic faults, FPGA interconnect
23Sungshin Kim, Hyeon Bae, Seong-Pyo Cheon, Kwang-Baek Kim On-line Fabric-Defects Detection Based on Wavelet Analysis. Search on Bibsonomy ICCSA (4) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Mango Chia-Tso Chao, Li-C. Wang, Kwang-Ting Cheng Pattern Selection for Testing of Deep Sub-Micron Timing Defects. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Tobias Lindahl, Konstantinos Sagonas Detecting Software Defects in Telecom Applications Through Lightweight Static Analysis: A War Story. Search on Bibsonomy APLAS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Compile-time program checking, software development, software tools, defect detection, software quality assurance
23Vadim A. Slavin, David H. Laidlaw, Robert Pelcovits, Song Zhang 0004, George Loriot, Andrew Callan-Jones Visualization of Topological Defects in Nematic Liquid Crystals Using Streamtubes, Streamsurfaces and Ellipsoids. Search on Bibsonomy IEEE Visualization The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Chris Schuermyer, Jens Ruffler, W. Robert Daasch Minimum Testing Requirements to Screen Temperature Dependent Defects. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Marek Z. Reformat A Fuzzy-Based Meta-model for Reasoning about Number of Software Defects. Search on Bibsonomy IFSA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Xiaoqing Wen, Hideo Tamamoto, Kewal K. Saluja, Kozo Kinoshita Fault Diagnosis for Physical Defects of Unknown Behaviors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Domingo Mery Crossing Line Profile: A New Approach to Detecting Defects in Aluminium Die Castings. Search on Bibsonomy SCIA The full citation details ... 2003 DBLP  DOI  BibTeX  RDF X-ray testing, automated visual inspection, Flaw detection
23William M. Evanco, June M. Verner Some Architectural Features of Ada Systems Affecting Defects. Search on Bibsonomy Ada-Europe The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Rosa Rodríguez-Montañés, Paul Volf, José Pineda de Gyvez Resistance Characterization for Weak Open Defects. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Zaid Al-Ars, Ad J. van de Goor DRAM Specific Approximation of the Faulty Behavior of Cell Defects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF memory specific fault analysis, approximating dynamic behavior, memory testing, DRAM
23Zaid Al-Ars, Ad J. van de Goor Approximating Infinite Dynamic Behavior for DRAM Cell Defects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF infinite dynamic faults, memory testing, DRAMs, functional fault models, defect simulation
23Søren Lauesen, Otto Vinter Preventing Requirement Defects: An Experiment in Process Improvement. Search on Bibsonomy Requir. Eng. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Cost/benefit, Usability, Requirements engineering, Scenarios, Process improvement, Market value
23Chao-Wen Tseng, Subhasish Mitra, Edward J. McCluskey, Scott Davidson 0001 An Evaluation of Pseudo Random Testing for Detecting Real Defects. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Sungju Park, Taehyung Kim A New IEEE 1149.1 Boundary Scan Design for the Detection of Delay Defects. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23P. Caleb, Michal Steuer Classification of surface defects on hot rolled steel using adaptive learning methods. Search on Bibsonomy KES The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Amir Attarha, Mehrdad Nourani, Caro Lucas Modeling and simulation of real defects using fuzzy logic. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
23Gwan-Hyung Kim, Sung-In Kang, Sang-Bae Lee A study on the estimate of weld bead shape and the compensation of welding parameters by considering weld defects in horizontal fillet welding. Search on Bibsonomy KES The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23James F. Plusquellic, Amy Germida, Zheng Yan 8-Bit Multiplier Simulation Experiments Investigating the Use of Power Supply Transient Signals for the Detection of CMOS Defects. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
23James F. Plusquellic, Donald M. Chiarulli, Steven P. Levitan Characterization of CMOS Defects using Transient Signal Analysis. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF transient signal analysis, CMOS defect characterization, defect diagnosis, failure analysis
23Wayne M. Needham, Cheryl Prunty, Yeoh Eng Hong High volume microprocessor test escapes, an analysis of defects our tests are missing. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Manoj Sachdev Open Defects in CMOS RAM Address Decoders. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
22Jimmy-Xuan Shen, Joel Varley pymatgen-analysis-defects: A Python package for analyzing point defects in crystalline materials. Search on Bibsonomy J. Open Source Softw. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
22Akond Rahman, Dibyendu Brinto Bose, Raunak Shakya, Rahul Pandita Come for syntax, stay for speed, understand defects: an empirical study of defects in Julia programs. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
22Yaxi Chen, Ruimin Hu, Zheng Wang 0007, Yuke Li How to Face Unseen Defects? UDGAN for Improving Unseen Defects Recognition. Search on Bibsonomy ICANN (1) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Ankit Gupta 0010, Adrita Barari, Damini, Keerthi Kiran Jagannathachar, Seungwoo Lee, Janghoon Oh, Jungha Kim, Min-Joo Kim Identifying Combination of Defects and Unknown Defects on Semiconductor Wafers using Deep Learning and Hierarchical Reclustering. Search on Bibsonomy VLSID The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
22Jiajun Jiang, Yingfei Xiong 0001 Can defects be fixed with weak test suites? An analysis of 50 defects from Defects4J. Search on Bibsonomy CoRR The full citation details ... 2017 DBLP  BibTeX  RDF
22Jun Ma 0003, Ying Xu, Jun Tang 0002, Chun-Ni Wang Defects formation and wave emitting from defects in excitable media. Search on Bibsonomy Commun. Nonlinear Sci. Numer. Simul. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
22Emad Shihab, Audris Mockus, Yasutaka Kamei, Bram Adams, Ahmed E. Hassan High-impact defects: a study of breakage and surprise defects. Search on Bibsonomy SIGSOFT FSE The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
22Francisco G. Bulnes, Daniel F. García, Julio Molleda A Technique for Clustering Individual Defects from Images of Steel Strips with Periodical Defects. Search on Bibsonomy MVA The full citation details ... 2011 DBLP  BibTeX  RDF
22Narendar Reddy Kancharla, Ananda Rao Akepogu, Gopi Chand Merugu, Kiran Kumar Jogu A Quantitative Method to Detect Design Defects and to Ascertain the Elimination of Design Defects after Refactoring. Search on Bibsonomy Software Engineering Research and Practice The full citation details ... 2008 DBLP  BibTeX  RDF
22Catherine Stringfellow, Anneliese Amschler Andrews, Claes Wohlin, Håkan Petersson Estimating the number of components with defects post-release that showed no defects in testing. Search on Bibsonomy Softw. Test. Verification Reliab. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
20Dmitry Chetverikov Residual of Resonant SVD as Salient Feature. Search on Bibsonomy ICCVG The full citation details ... 2008 DBLP  DOI  BibTeX  RDF texture, SVD, defects, video processing, periodicity, saliency
20Sudhakar M. Reddy, Irith Pomeranz, Chen Liu On tests to detect via opens in digital CMOS circuits. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF constrained stuck-at tests, test generation, DFT, open defects
20Gerard J. Holzmann Conquering Complexity. Search on Bibsonomy Computer The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Perrow-class failures, software technologies, software defects
20Jörg Rech, Waldemar Schäfer Visual support of software engineers during development and maintenance. Search on Bibsonomy ACM SIGSOFT Softw. Eng. Notes The full citation details ... 2007 DBLP  DOI  BibTeX  RDF quality defects, evaluation, software visualization, TAM, software navigation
20Nathaniel Ayewah, William W. Pugh, J. David Morgenthaler, John Penix, YuQian Zhou Evaluating static analysis defect warnings on production software. Search on Bibsonomy PASTE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Java, static analysis, software quality, bugs, false positives, software defects, FindBugs, bug patterns
20Chun-Yi Lee, Hung-Mao Lin, Fang-Min Wang, James Chien-Mo Li Cyclic-CPRS : A Diagnosis Technique for BISTed Circuits for Nano-meter Technologies. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF systematic defects, cyclic-column parity row selection technique, built-in self tested circuits, cyclic scan chains, masking circuitry, transient errors, circuit under test, nanometer technologies
20Sheela Ramanna, Rajen Bhatt, Piotr Biernot Software Defect Classification: A Comparative Study with Rough Hybrid Approaches. Search on Bibsonomy RSEISP The full citation details ... 2007 DBLP  DOI  BibTeX  RDF rough-fuzzy classification trees, neuro-fuzzy decision trees, Classification, rough sets, software defects
20Philipp V. Panitz, Markus Olbrich, Erich Barke, Jürgen Koehl Robust wiring networks for DfY considering timing constraints. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF redundant wiring, timing constraint aware, open defects, design for yield
20Kwang-Ting (Tim) Cheng Dealing with early life failures. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF latent defects, embedded systems, technology scaling, IDDQ, burn-in
20Jeffrey E. Nelson, Jason G. Brown, Rao Desineni, R. D. (Shawn) Blanton Multiple-detect ATPG based on physical neighborhoods. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF N-detect, multiple-detect, ATPG, defects, neighborhoods
20Scott Davidson 0001 What's the problem? Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF full-scan test, design for testability, delay test, defects, IC
20William E. Howden Software Test Selection Patterns and Elusive Bugs. Search on Bibsonomy COMPSAC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF elusive, models, design, Testing, patterns, defects
20Evanthia Papadopoulou The Hausdorff Voronoi Diagram of Point Clusters in the Plane. Search on Bibsonomy Algorithmica The full citation details ... 2004 DBLP  DOI  BibTeX  RDF VLSI yield prediction, VLSI Critical Area, Via-blocks, Voronoi diagram, Hausdorff distance, Plane sweep, Manufacturing defects
20Marek Vokác Defect Frequency and Design Patterns: An Empirical Study of Industrial Code. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF defect frequency, industrial code, Design patterns, case study, maintenance, defects
20Bruce C. Hungerford, Alan R. Hevner, Rosann W. Collins Reviewing Software Diagrams: A Cognitive Study. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF human cognitive theory, software diagrams, verbal protocol methods, software quality, inspections, Defects, software reviews
20Barry W. Boehm, Jesal Bhuta, David Garlan, Eric Gradman, LiGuo Huang, Alexander Lam, Raymond J. Madachy, Nenad Medvidovic, Kenneth Meyer, Steven Meyers, Gustavo Pérez, Kirk Reinholtz, Roshanak Roshandel, Nicolas Rouquette Using Empirical Testbeds to Accelerate Technology Maturity and Transition: The SCRover Experience. Search on Bibsonomy ISESE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF SCRover, empirical testbeds, seeded defects, Empirical methods, technology evaluation, software dependability, architecture definition languages
20Donald B. Shaw, Dhamin Al-Khalili, Côme Rozon IC Bridge Fault Modeling for IP Blocks Using Neural Network-Based VHDL Saboteurs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2003 DBLP  DOI  BibTeX  RDF neural networks, VHDL, fault models, fault simulation, CMOS ICs, Bridge defects, IP blocks
20William M. Evanco Comments on "The Confounding Effect of Class Size on the Validity of Object-Oriented Metrics". Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF defect-proneness, statistical modeling, Object-oriented metrics, software defects
20Hiroyuki Yotsuyanagi, Masaki Hashizume, Taisuke Iwakiri, Masahiro Ichimiya, Takeomi Tamesada Random Pattern Testability of the Open Defect Detection Method using Application of Time-variable Electric Field. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF supply current test, time-variable electric field, test pattern generation, CMOS IC, open defects
20Guoling Shen, Takis Sakkalis, Nicholas M. Patrikalakis Analysis of boundary representation model rectification. Search on Bibsonomy Symposium on Solid Modeling and Applications The full citation details ... 2001 DBLP  DOI  BibTeX  RDF CAD model defects, boundary reconstruction, robustness, NP-hardness, data exchange
20Salvador Mir, Benoît Charlot, Bernard Courtois Extending Fault-Based Testing to Microelectromechanical Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF nodal simulation, fault modeling, fault simulation, defects, MEMS, HDLs, failure modes
20Chris Sauer, D. Ross Jeffery, Lesley Pek Wee Land, Philip Yetton The Effectiveness of Software Development Technical Reviews: A Behaviorally Motivated Program of Research. Search on Bibsonomy IEEE Trans. Software Eng. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF technical reviews, group size, behavioral research, research program, training, theory, groups, Inspections, defects, reading, expertise, defect detection, walkthroughs, group process
20Lorena Anghel, Michael Nicolaidis, Issam Alzaher-Noufal Self-Checking Circuits versus Realistic Faults in Very Deep Submicron. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Concurrent checking, self–checking circuits, timing faults, very deep submicron, hardware fault tolerance, soft errors, defects, nanometer technologies
20Jing-Jia Liou, Kwang-Ting Cheng, Deb Aditya Mukherjee Path Selection for Delay Testing of Deep Sub-Micron Devices Using Statistical Performance Sensitivity Analysis. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay defects, delay fault modeling, delay testing, critical paths, statistical timing analysis
20John W. Stamey Jr., Thomas M. Roth III Technical documentation and related contractual liability. Search on Bibsonomy SIGDOC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF documentation defects, legal liability, technical documentation, liability
20Angela Krstic, Kwang-Ting Cheng Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF resynthesis for testability, timing defects, delay testing, path delay faults, VLSI testing
20Ken Posse A Formalization of the IEEE 1149.1-1990 Diagnostic Methodology as Applied to Multichip Modules. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fault diagnosis, Boundary-Scan, Multichip Module, MCM, interconnect testing, manufacturing defects
20Georg Lambert, Franziska Bock Wavelet methods for texture defect detection. Search on Bibsonomy ICIP (3) The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiscale wavelet methods, texture defect detection, wavelet bases, texture defects localisation, multiscale wavelet representations, fast dyadic wavelet transform, translation invariant a trous algorithm, feature extraction, image texture, computational costs, defect classification, decomposition algorithms, image texture analysis
20Hans Schaefer Tutorial: organizing, managing and optimizing software testing. Search on Bibsonomy APSEC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF serious defects, testing process control, software testing, program testing, cost, philosophy
20Naim Ben-Hamida, Khaled Saab 0001, David Marche, Bozena Kaminska A perturbation based fault modeling and simulation for mixed-signal circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF analog circuit fault simulation, perturbation fault model, fault abstraction, structural fault modeling, perturbation estimation, fault observation, hierarchical analog fault simulator, complexity, test generation, CMOS, mixed-signal circuits, mixed analogue-digital integrated circuits, functional fault modeling, physical defects
20Yu-Yau Guo, Jien-Chung Lo, Cecilia Metra Fast and area-time efficient Berger code checkers. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Berger code checker, ratioed FET circuit, area-time efficiency, resistive breaks, VLSI, defects, error detection codes, bridges, speed, threshold function, 32 bit, 1.2 micron
20Alvin Jee, F. Joel Ferguson A methodolgy for characterizing cell testability. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cell testability, stuck-at fault coverage, IC quality, physical design for testability, metric, integrated circuit design, integrated circuit design, DPM, manufacturing defects
20V. Kim, T. Chen Assessing SRAM test coverage for sub-micron CMOS technologies. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF submicron CMOS technologies, SRAM test coverage assessment, memory fault probability model, memory array, data retention faults, memory fault coverages, memory test algorithms, functional fault class coverages, 0.5 to 1 mum, stuck-at faults, transition faults, stuck-open faults, coupling faults, physical defects, CMOS memory circuits
20Jaume Segura 0001, Carol de Benito, Antonio Rubio 0001, Charles F. Hawkins A detailed analysis and electrical modeling of gate oxide shorts in MOS transistors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fault modeling, physical defects, gate oxide short
20Gordon W. Roberts Metrics, techniques and recent developments in mixed-signal testing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF manufacturing environment, measurement setups, quality, mixed-signal testing, mixed analogue-digital integrated circuits, manufacturing defects, product cost
20K. Vijayananda Distributed fault detection in communication protocols using extended finite state machines. Search on Bibsonomy ICPADS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF distributed fault detection, run-time fault detection, coding defects, memory problems, protocol faults, vocabulary faults, sequencing faults, parallel decomposition method, multiple observers, distributed fault detection mechanism, fault tolerant computing, finite state machines, transport protocols, encoding, communication protocols, fault coverage, extended finite state machines
20Keerthi Heragu, Janak H. Patel, Vishwani D. Agrawal Segment delay faults: a new fault model. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF segment delay faults, delay defect, distributed defect, rising transitions, falling transitions, transition tests, nonrobust tests, VLSI, fault diagnosis, logic testing, delays, integrated circuit testing, fault model, automatic testing, circuit analysis computing, robust tests, integrated circuit modelling, production testing, spot defect, manufacturing defects
20Hassan Ihs, Christian Dufaza Tolerance DC bands of CMOS operational amplifier. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tolerance DC bands, CMOS operational amplifier, DC node voltages, data tolerance bands, foundry process fluctuations, DC branch current, OA, supply voltage, catastrophic defects, transistor connections, optimization, fault diagnosis, integrated circuit testing, fault detection, fault model, fault simulation, circuit optimisation, operational amplifiers, integrated circuit modelling, transistor size, CMOS analogue integrated circuits, design parameters
20Yung-Yuan Chen, Ching-Hwa Cheng, Jwu-E Chen An efficient switching network fault diagnosis for reconfigurable VLSI/WSI array processors. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF switching network fault diagnosis, reconfigurable VLSI/WSI array processors, switching network defects, killing error, testing circuit overhead, diagnosis time, mesh array, VLSI, parallel architectures, fault diagnosis, reconfigurable architectures, multiple faults, switching networks, wafer-scale integration, testing quality
20Xuanli Lisa Xie, Gerardo Beni A Validity Measure for Fuzzy Clustering. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fuzzy validity criterion, validity function, fuzzy c-partitions, geometric distance measure, cluster centroids, separation index, computer color vision system, IC wafer defects, pattern recognition, fuzzy clustering, fuzzy set theory, minimisation, uniqueness, color image segmentation
18Gordon Fraser 0001, Andreas Zeller Mutation-driven generation of unit tests and oracles. Search on Bibsonomy ISSTA The full citation details ... 2010 DBLP  DOI  BibTeX  RDF unit testing, assertions, test case generation, mutation testing, test oracles, mutation analysis, search based testing
18Anita Gupta, Jingyue Li, Reidar Conradi, Harald Rønneberg, Einar Landre A case study comparing defect profiles of a reused framework and of applications reusing it. Search on Bibsonomy Empir. Softw. Eng. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Empirical study, Software reuse, Software defect
18Muzaffer O. Simsir, Srihari Cadambi, Franjo Ivancic, Martin Rötteler, Niraj K. Jha A hybrid nano-CMOS architecture for defect and fault tolerance. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF nanotechnology, Defect tolerance, nanowires
18Youngshin Han, Chilgee Lee, Jason J. Jung Redundancy analysis simulation in semiconductor manufacturing for yield improvement. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  DOI  BibTeX  RDF EDS, fail bit map, redundancy analysis simulation, correlation
18Dragan Bosnacki, Aad Mathijssen, Yaroslav S. Usenko Behavioural Analysis of an I2C Linux Driver. Search on Bibsonomy FMICS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Jianping Lin, Shuisheng Chen, Ying Cao, Huajun Guan Parameters optimization on dent around fuel filler of auto rear fender based on intelligent algorithm. Search on Bibsonomy GEC Summit The full citation details ... 2009 DBLP  DOI  BibTeX  RDF RSM, dent, finite element simulation, orthogonal design experiment, parameters optimization, intelligent algorithm
18Özlem Albayrak An experiment to observe the impact of UML diagrams on the effectiveness of software requirements inspections. Search on Bibsonomy ESEM The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Mangala Gowri Nanda, Saurabh Sinha Accurate Interprocedural Null-Dereference Analysis for Java. Search on Bibsonomy ICSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Suresh Thummalapenta, Tao Xie 0001 Mining exception-handling rules as sequence association rules. Search on Bibsonomy ICSE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Suchendra M. Bhandarkar, Xingzhi Luo, Richard F. Daniels, Ernest William Tollner Automated Planning and Optimization of Lumber Production Using Machine Vision and Computed Tomography. Search on Bibsonomy IEEE Trans Autom. Sci. Eng. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Westley Weimer, George C. Necula Exceptional situations and program reliability. Search on Bibsonomy ACM Trans. Program. Lang. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF compensating transactions, linear sagas, linear types, resource management, Error handling
18Thomas Zimmermann 0001, Nachiappan Nagappan, Andreas Zeller Predicting Bugs from History. Search on Bibsonomy Software Evolution The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18David A. McMeekin, Brian R. von Konsky, Elizabeth Chang 0001, David J. A. Cooper Checklist Based Reading's Influence on a Developer's Understanding. Search on Bibsonomy Australian Software Engineering Conference The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Checklist Based Reading, Inspection, Comprehension, Understanding
18Ahmed H. Eid, Mohamed N. Ahmed, Edward E. Rippetoe EP printer jitter characterization using 2D Gabor filter and spectral analysis. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Jan Schat Fault Clustering in deep-submicron CMOS Processes. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Stephen Thornhill, Nathanael Wu, Zhengfei Wang, Qinwei Shi, Jie Chen 0002 Graphene nanoribbon field-effect transistors. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Nilanjan Mukherjee 0001 Targeting "Zero DPPM" - Can we ever get there? Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Hyunbean Yi, Sandip Kundu Core Test Wrapper Design to Reduce Test Application Time for Modular SoC Testing. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
Displaying result #301 - #400 of 5103 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license