The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for BIST with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1988 (24) 1989-1990 (20) 1991 (15) 1992 (24) 1993 (25) 1994 (35) 1995 (56) 1996 (51) 1997 (71) 1998 (81) 1999 (84) 2000 (122) 2001 (115) 2002 (121) 2003 (126) 2004 (134) 2005 (114) 2006 (89) 2007 (69) 2008 (72) 2009 (47) 2010 (43) 2011 (34) 2012 (27) 2013 (50) 2014 (34) 2015 (33) 2016-2017 (34) 2018 (22) 2019-2020 (27) 2021-2022 (25) 2023 (17) 2024 (2)
Publication types (Num. hits)
article(532) incollection(2) inproceedings(1304) phdthesis(5)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1729 occurrences of 545 keywords

Results
Found 1860 publication records. Showing 1843 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
29Elmet Orasson, Rein Raidma, Raimund Ubar, Gert Jervan, Zebo Peng Fast Test Cost Calculation for Hybrid BIST in Digital Systems. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Biplab K. Sikdar, Samir Roy, Debesh K. Das Enhancing BIST Quality of Sequential Machines through Degree-of-Freedom Analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Abhijit Jas, C. V. Krishna, Nur A. Touba Hybrid BIST Based on Weighted Pseudo-Random Testing: A New Test Resource Partitioning Scheme. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Makoto Sugihara, Hiroto Yasuura, Hiroshi Date Analysis and Minimization of Test Time in a Combined BIST and External Test Approach. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Alfredo Benso, Stefano Di Carlo, Silvia Chiusano, Paolo Prinetto, Fabio Ricciato, Monica Lobetti Bodoni, Maurizio Spadari On Integrating a Proprietary and a Commercial Architecture for Optimal BIST Performances in SoCs. Search on Bibsonomy ICCD The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Miron Abramovici, Charles E. Stroud, Brandon Skaggs, John Marty Emmert Improving On-Line BIST-Based Diagnosis for Roving STARs. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF on-line testing and diagnosis, FPGA test and diagnosis
29Giuseppe Biasoli, Fabrizio Ferrandi, Donatella Sciuto, Alessandro Fin, Franco Fummi BIST Architectures Selection Based on Behavioral Testing. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Gert Jervan, Zebo Peng, Raimund Ubar Test Cost Minimization for Hybrid Bist. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Vivek Chickermane, Scott Richter, Carl Barnhart Integrating Logic BIST in VLSI Designs with Embedded Memories. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Hafizur Rahaman 0001, Debesh K. Das, Bhargab B. Bhattacharya An Adaptive BIST to Detect Multiple Stuck-Open Faults in CMOS circuits. Search on Bibsonomy ASP-DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, Joan Figueras, Salvador Manich, João Paulo Teixeira 0001, Marcelino B. Santos Low-energy BIST design: impact of the LFSR TPG parameters on the weighted switching activity. Search on Bibsonomy ISCAS (1) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Xrysovalantis Kavousianos, Dimitris Bakalis, Haridimos T. Vergos, Dimitris Nikolos, George Alexiou Low Power Dissipation in BIST Schemes for Modified Booth Multipliers. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Modified Booth Multipliers, Low Power, Built In Self Test, VLSI Testing
29Mihalis Psarakis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian An Effective BIST Architecture for Sequential Fault Testing in Array Multipliers. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Wen-Ben Jone, Der-Cheng Huang, S. C. Wu, Kuen-Jong Lee An Efficient BIST Method for Small Buffers. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Iyad Rayane, Jaime Velasco-Medina, Michael Nicolaidis A Digital BIST for Operational Amplifiers Embedded in Mixed-Signal Circuits. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
29Huan-Chih Tsai, Kwang-Ting Cheng, Chih-Jen Lin, Sudipta Bhawmik Efficient test-point selection for scan-based BIST. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Ishwar Parulkar, Sandeep K. Gupta 0001, Melvin A. Breuer Estimation of BIST Resources During High-Level Synthesis. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF built-in self-test, high-level synthesis, estimation
29Ioannis Voyiatzis, Antonis M. Paschalis, Dimitris Nikolos, Constantinos Halatsis R-CBIST: an effective RAM-based input vector monitoring concurrent BIST technique. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Nilanjan Mukherjee 0001, Tapan J. Chakraborty, Sudipta Bhawmik A BIST scheme for the detection of path-delay faults. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
29Xiaoling Sun, Micaela Serra On-line and off-line testing with shared resources: a new BIST approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
29Rodrigue Byrne Determining Aliasing Probabilities in BIST by Counting Strings. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF response analysis architectures, compression techniques, aliasing probabilities, deterministic finite automata
29Chin-Long Wey Built-in self-test (BIST) design of high-speed carry-free dividers. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Mohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar A Novel BIST Architecture With Built-in Self Check. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
29Koichi Tanno, Akio Koyama, T. Taketa, Shoichi Noguchi Buffer insertion/self-token (BIST) protocol for multimedia LANs. Search on Bibsonomy ICNP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
29Kwanghyun Kim, Joseph G. Tront, Dong Sam Ha Automatic Insertion of BIST Hardware Using VHDL. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
28Jing Li 0073, Aditya Bansal, Swaroop Ghosh, Kaushik Roy 0001 An alternate design paradigm for low-power, low-cost, testable hybrid systems using scaled LTPS TFTs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-temperature polycrystalline silicon (LTPS), grain boundary (GB), inherent variation, thin-film transistor (TFT), generic, reconfigurable, hybrid system, BIST, DFT, 3D integration
28Alodeep Sanyal, Sandip Kundu A Built-in Test and Characterization Method for Circuit Marginality Related Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit Marginality, Pseudorandom Pattern Generator (PRPG), Multiple Input Signature Register (MISR), Fmax testing based on frequency shmoo, Built-In Self-Test (BIST), Design-for-Testability (DFT), Linear Feedback Shift Register (LFSR)
28Michael R. Nelms, Kevin W. Gorman, Darren Anand Generating At-Speed Array Fail Maps with Low-Speed ATE. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Delay & Performance Test, Diagnosis & Debug, Design for Testability, BIST, Memory Test
28Rajeshwar S. Sable, Ravindra P. Saraf, Rubin A. Parekhji, Arun N. Chandorkar Built-in Self-test Technique for Selective Detection of Neighbourhood Pattern Sensitive Faults in Memories. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Built-in self-test for memories, neighbourhood pattern sensitive faults, programmable BIST
28Benoît Charlot, Salvador Mir, Fabien Parrain, Bernard Courtois Generation of Electrically Induced Stimuli for MEMS Self-Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF MEMS test case-studies, MEMS failure mechanisms, BIST, self-test
28Xiaoling Sun, Jian Xu, Pieter M. Trouborst Testing Xilinx XC4000 Configurable Logic Blocks with Carry Logic Modules. Search on Bibsonomy DFT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF configurable logic blocks, fault diagnosis, BIST, FPGA testing
28Abhijit Chatterjee, Bruce C. Kim, Naveena Nagi Low-cost DC built-in self-test of linear analog circuits using checksums. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF DC built-in self-test, catastrophic failures, line opens, DC transfer function, on-chip fault detection, BIST circuitry, fault diagnosis, built-in self test, integrated circuit testing, transfer functions, analogue integrated circuits, checksums, linear analog circuits, matrix representations, fault classes
28Michael Nicolaidis Efficient UBIST implementation for microprocessor sequencing parts. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF strongly code disjoint checkers, signature analyser, UBIST, microprocessor sequencing part, BIST, LFSR, self-checking circuits, totally self-checking circuits
28Ender Baykut, Veysel Kula The Volatility and Shock Transmission Patterns Between the BIST Sustainability and BIST 100 Indices. Search on Bibsonomy Frontiers Appl. Math. Stat. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
28Xiankun Jin, Tao Chen 0006, Mayank Jain, Arun Kumar Barman, David Kramer, Doug Garrity, Randall L. Geiger, Degang Chen 0001 An on-chip ADC BIST solution and the BIST enabled calibration scheme. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
28Mehdi Sadi, Gustavo K. Contreras, Dat Tran, Jifeng Chen, LeRoy Winemberg, Mark M. Tehranipoor BIST-RM: BIST-assisted reliability management of SoCs using on-chip clock sweeping and machine learning. Search on Bibsonomy ITC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
28Sybille Hellebrand, Thomas Indlekofer, Matthias Kampmann, Michael A. Kochte, Chang Liu 0010, Hans-Joachim Wunderlich FAST-BIST: Faster-than-at-Speed BIST targeting hidden delay defects. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
28Hongzhi Li A BIST (Built-In Self-Test) strategy for mixed-signal integrated circuits (BIST (Built-in Self-Test) Strategie für integrierte Mixed-Signal Schaltungen) (PDF / PS) Search on Bibsonomy 2004   RDF
28Nicola Nicolici, Bashir M. Al-Hashimi Tackling test trade-offs for BIST RTL data paths: BIST area overhead, test application time and power dissipation. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Alfredo Benso, Silvia Cataldo, Silvia Chiusano, Paolo Prinetto, Yervant Zorian HD-BIST: a hierarchical framework for BIST scheduling and diagnosis in SOCs. Search on Bibsonomy ITC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
26Stephen K. Sunter, Aubin Roy A Mixed-Signal Test Bus and Analog BIST with 'Unlimited' Time and Voltage Resolution. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF mixed-signal DFT, analog bus, mixed-signal BIST
26Swapnil Bahl, Vishal Srivastava Self-Programmable Shared BIST for Testing Multiple Memories. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Memory testing and Semiconductor memory, Built-in Self-test (BIST), Pipeline architecture
26M. Doulcier, Marie-Lise Flottes, Bruno Rouzeyre AES-Based BIST: Self-Test, Test Pattern Generation and Signature Analysis. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF AES core, BIST, secure systems
26Alex Gonsales, Marcelo Lubaszewski, Luigi Carro, Michel Renovell A New FPGA for DSP Applications Integrating BIST Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF hardware test, FPGA, digital signal processing, DSP, BIST, reconfigurable architectures
26Alexander Irion, Gundolf Kiefer, Harald P. E. Vranken, Hans-Joachim Wunderlich Circuit partitioning for efficient logic BIST synthesis. Search on Bibsonomy DATE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF divide-and-conquer, circuit partitioning, deterministic BIST
26Jacob Savir Distributed BIST Architecture to Combat Delay Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, LFSR, delay test, MISR, LSSD, SRL
26Salvador Manich, A. Gabarró, M. Lopez, Joan Figueras, Patrick Girard 0001, Loïs Guiller, Christian Landrault, Serge Pravossoudovitch, João Paulo Teixeira 0001, Marcelino B. Santos Low Power BIST by Filtering Non-Detecting Vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF low power BIST, low energy consumption, LFSR, gated clock
26Wei-Lun Wang, Kuen-Jong Lee Accelerated test pattern generators for mixed-mode BIST environments. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF accelerated test pattern generators, mixed-mode BIST, pseudorandom patterns, deterministic patterns, scan-based built-in self-test, multiple sub-chains, multiple sequence generator, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic test pattern generation, fault coverage, linear feedback shift registers, cost, test pattern generator, shift registers, test application time, scan chain, mixed analogue-digital integrated circuits, clock cycle, integrated circuit economics
26Hsin-Po Wang 0002, Jon Turino DFT and BIST techniques for the future. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multimillion gate system-on-chip, multinational design, logic testing, built-in self test, design for testability, quality, BIST, economics, DFT, integrated circuit design, time to market, production testing, IC design, integrated circuit economics
26Der-Cheng Huang, Wen-Ben Jone An efficient parallel transparent diagnostic BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF parallel transparent diagnostic BIST, built-in self-diagnosis method, multiple embedded memory arrays, transparent diagnostic interface, redundant read/write/shift operations, march algorithm, TDiagRSMarch algorithm, low hardware overhead, test time reduction, diagnostic efficiency, parallel algorithms, VLSI, fault diagnosis, logic testing, built-in self test, integrated circuit testing, automatic testing, test coverage, integrated memory circuits
26Jacob Savir Salvaging Test Windows in BIST Diagnostics. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF BIST diagnostics, error signature, autonomous MISR, LFSR, signature analysis, MISR
26Jacob Savir Salvaging test windows in BIST diagnostic. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF test window, STUMPS architecture, BIST diagnostics, signature measurement, built-in self test
26Vladimír Székely, Márta Rencz, Bernard Courtois Integrating on-chip temperature sensors into DfT schemes and BIST architectures. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF on-chip low-power small-area CMOS temperature sensor, DfTT, design for thermal testability, safety-critical circuit, integrated circuit testing, BIST, CMOS integrated circuits
26Sunil R. Das, Nishith Goel, Wen-Ben Jone, Amiya R. Nayak Syndrome signature in output compaction for VLSI BIST. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF syndrome signature, output compaction, VLSI BIST, input patterns, n-input combinational circuit, primary syndrome, subsyndromes, subfunctions, single-output circuit, multiple output, VLSI, logic testing, data compression, built-in self test, integrated circuit testing, combinational circuits, switching functions, exhaustive testing
26Jing-Yang Jou An effective BIST design for PLA. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST design, deterministic test pattern generator, cross point, AND array, fault detection capability, contact fault model, logic testing, built-in self test, integrated circuit testing, combinational circuits, automatic testing, programmable logic arrays, PLA, CMOS logic circuits, characteristic polynomial, stuck-at fault model, multiple input signature register
26Nur A. Touba, Edward J. McCluskey Transformed pseudo-random patterns for BIST. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF pseudorandom patterns transformation, onchip test pattern generation, mapping logic, on-chip TPG, logic testing, built-in self test, integrated circuit testing, logic design, BIST, combinational circuits, automatic testing, combinational logic
26Imtiaz P. Shaik, Michael L. Bushnell A graph approach to DFT hardware placement for robust delay fault BIST. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph heuristic, DFT hardware placement, robust delay fault BIST, ULSI circuit, built-in self-testing model, design for testability hardware, hazard free structure, graph theory, logic testing, delays, built-in self test, integrated circuit testing, design for testability, fault location, digital integrated circuits, ULSI
25Jan Arild Tofte, Chee-Kian Ong, Jiun-Lang Huang, Kwang-Ting (Tim) Cheng Characterization of a Pseudo-Random Testing Technique for Analog and Mixed-Signal Built-in-Self-Test. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF cross-correlation signature register, CCSR, implicit functional testing, harmonic distortion, THD, classification, synthesis, noise, BIST, convex hull, polygon, discrimination, analog test, cross-correlation, mixed-signal, pseudo-random, pseudo-random, labview, impulse response, performance parameter, analog BIST
25Xiaodong Zhang 0010, Kaushik Roy 0001 Design and Synthesis of Low Power Weighted Random Pattern Generator Considering Peak Power Reduction. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF BIST Synthesis, Weighted Random Pattern Generator, Low Power BIST, Testing, Low Power, Cellular Automata, Peak Power
25Han Bin Kim, Dong Sam Ha, Takeshi Takahashi 0003 On ILP Formulations for Built-In Self-Testable Data Path Synthesis. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF high-level BIST synthesis, built-in self-test, BIST, ILP
25Salvador Mir, Marcelo Lubaszewski, Bernard Courtois Unified built-in self-test for fully differential analog circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF on-line/off-line analog test, unified BIST, fully differential analog circuits, common-mode feedback, analog BIST
23Prashant Dubey, Akhil Garg 0001, Sravan Kumar Bhaskarani GALS Based Shared Test Architecture for Embedded Memories. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan Constant Function Independent Test Set for Fault Detection in Bit Parallel Multipliers in GF(2^m). Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Pseudofunctional testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Shibaji Banerjee, Dipanwita Roy Chowdhury Built-In Self-Test for Flash Memory Embedded in SoC. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Built-in sequential fault self-testing of array multipliers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Ioannis Voyiatzis, Dimitris Gizopoulos, Antonis M. Paschalis Accumulator-Based Weighted Pattern Generation. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23Amit Laknaur, Haibo Wang 0005 Built-In-Self-Testing Techniques for Programmable Capacitor Arrays. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
23R. Dean Adams, Robert Abbott, Xiaoliang Bai, Dwayne Burek, Eric MacDonald An Integrated Memory Self Test and EDA Solution. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Omar I. Khan, Michael L. Bushnell Spectral Analysis for Statistical Response Compaction During Built-In Self-Testing. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Sunil Rafeeque, Vinita Vasudevan A Built-in-Self-Test Scheme for Digital to Analog Converters. Search on Bibsonomy VLSI Design The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
23Stephen Pateras Achieving At-Speed Structural Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Kuo-Liang Cheng, Ming-Fu Tsai, Cheng-Wen Wu Neighborhood pattern-sensitive fault testing and diagnostics for random-access memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Jin-Fu Li 0001, Hsin-Jung Huang, Jeng-Bin Chen, Chih-Pin Su, Cheng-Wen Wu, Chuang Cheng, Shao-I Chen, Chi-Yi Hwang, Hsiao-Ping Lin A Hierarchical Test Scheme for System-On-Chip Designs. Search on Bibsonomy DATE The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Kazumi Hatayama, Michinobu Nakao, Yasuo Sato At-Speed Built-in Test for Logic Circuits with Multiple Clocks. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Hao-Chiao Hong, Jiun-Lang Huang, Kwang-Ting Cheng, Cheng-Wen Wu On-chip Analog Response Extraction with 1-Bit ? - Modulators. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Irith Pomeranz, Sandip Kundu, Sudhakar M. Reddy On output response compression in the presence of unknown output values. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Biplab K. Sikdar, Debesh K. Das, Vamsi Boppana, Cliff Yang, Sobhan Mukherjee, Parimal Pal Chaudhuri Cellular automata as a built in self test structure. Search on Bibsonomy ASP-DAC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Suhwan Kim, Conrad H. Ziesler, Marios C. Papaefthymiou Design, Verification, and Test of a True Single-Phase 8-bit Adiabatic Multiplier. Search on Bibsonomy ARVLSI The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
23Ilker Hamzaoglu, Janak H. Patel Reducing Test Application Time for Built-in-Self-Test Test Pattern Generators. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-in-Self-Test, Test Generation, Combinational Circuits, Test Application Time, Stuck-at Fault Model
23Albrecht P. Stroele Synthesis for Arithmetic Built-In Self-Tes. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test configuration, built-in self-test, high-level synthesis, synthesis for testability, Accumulator
23Li Chen, Sujit Dey, Pablo Sanchez, Krishna Sekar, Ying Cheng Embedded hardware and software self-testing methodologies for processor cores. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
23Klaus Herrmann 0002, Jan Otterstedt, Hartwig Jeschke, M. Kuboschek A MIMD-based video signal processing architecture suitable for large area integration and a 16.6-cm2 monolithic implementation. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
23Debaditya Mukherjee, Melvin A. Breuer An IEEE 1149.1 Compliant Test Control Architecture. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF test control, local test control, distributed test control, dynamic test control, built-in self-test, design-for-test, boundary scan, test bus
23Michael Nicolaidis, Yervant Zorian On-Line Testing for VLSI - A Compendium of Approaches. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF fail-safe circuits, SEU hardened circuits, monitoring of reliability indicators, thermal monitors, radiation monitors, on-line testing, self-checking circuits, current monitors
23Mohammed Fadle Abdulla, C. P. Ravikumar, Anshul Kumar Efficient Implementation of Multiple On-Chip Signature Checking. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
23Vishwani D. Agrawal, Charles R. Kime, Kewal K. Saluja A Tutorial on Built-in Self-Test. I. Principles. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Wen-Ben Jone, Anita Gleason Analysis of Hamming count compaction scheme. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF index vector, spectral coefficients, Built-in self test, compaction, syndrome
23Hussam Y. Abujbara, Sami A. Al-Arian Self-testing and self-reconfiguration architecture for 2-D WSI arrays. Search on Bibsonomy SPDP The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
23Michael Zimmermann, Manfred Geilert Generation of embedded RAMs with built-in test using object-oriented programming. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Shyam Kumar Devarakond, Shreyas Sen, Abhijit Chatterjee BIST assisted wideband digital compensation for MB-UWB transmitters. Search on Bibsonomy DDECS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Aftab Farooqi, Richard O. Gale, Sudhakar M. Reddy, Brian Nutter, Chris Monico Markov source based test length optimized SCAN-BIST architecture. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Manuel J. Barragan Asian, Diego Vázquez, Adoración Rueda Practical Implementation of a Network Analyzer for Analog BIST Applications. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Waleed K. Al-Assadi, Sindhu Kakarla A BIST Technique for Crosstalk Noise Detection in FPGAs. Search on Bibsonomy DFT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Bei Cao, Liyi Xiao, Yongsheng Wang A Low Power Deterministic Test Pattern Generator for BIST Based on Cellular Automata. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Yoshiyuki Nakamura, Thomas Clouqueur, Kewal K. Saluja, Hideo Fujiwara Diagnosing At-Speed Scan BIST Circuits Using a Low Speed and Low Memory Tester. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22James Chien-Mo Li, Hung-Mao Lin, Fang-Min Wang Column Parity Row Selection (CPRS) BIST Diagnosis Technique: Modeling and Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF fault tolerance, reliability, testing, Diagnostics
22Erdem Serkan Erdogan, Sule Ozev An ADC-BiST scheme using sequential code analysis. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Haridimos T. Vergos An Efficient BIST Scheme for Non-Restoring Array Dividers. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Petr Fiser Pseudo-Random Pattern Generator Design for Column-Matching BIST. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #401 - #500 of 1843 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license