The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase built-in-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1975-1987 (16) 1988-1994 (15) 1995-2000 (19) 2001-2002 (15) 2003-2005 (27) 2006-2007 (22) 2008-2011 (15) 2012-2018 (16) 2019-2024 (8)
Publication types (Num. hits)
article(62) inproceedings(91)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 129 occurrences of 79 keywords

Results
Found 153 publication records. Showing 153 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
49Chengying Mao, Yansheng Lu, Jinlong Zhang Regression testing for component-based software via built-in test design. Search on Bibsonomy SAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF built-in test design, method call graph, component, regression testing, test case selection
41Jonathan Vincent, Graham King, Peter Lay, John Kinghorn Principles of Built-In-Test for Run-Time-Testability in Component-Based Software Systems. Search on Bibsonomy Softw. Qual. J. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF continuous test, component based software engineering, built-in-test, verification and validation
33Donghoon Han, Abhijit Chatterjee Robust Built-In Test of RF ICs Using Envelope Detectors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Qi Wang, Mani Soma RF Front-end System Gain and Linearity Built-in Test. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF CMOS RF amplitude detector, gfain measurement, linearity measurement, built-in test, RF test
33Irith Pomeranz, Sudhakar M. Reddy Built-In Test Sequence Generation for Synchronous Sequential Circuits Based on Loading and Expansion of Input Sequences Using Single and Multiple Fault Detection Times. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF built-in test generation, synchronous sequential circuits, at-speed testing
33Yingxu Wang 0001, Dilip Patel, Graham King, Ian Court, Geoff Staples, Margaret Ross 0001, Mohamed Fayad On built-in test reuse in object-oriented framework design. Search on Bibsonomy ACM Comput. Surv. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF frameowrk, framework reuse, test reuse, testable software, software engineering, pattern, built-in test, object-oriented technology, code reuse
31Soumendu Bhattacharya, Abhijit Chatterjee Use of Embedded Sensors for Built-In-Test of RF Circuits. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
31Irith Pomeranz, Sudhakar M. Reddy Built-in test generation for synchronous sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF comparison units, built-in self-test, synchronous sequential circuits, at-speed test
31Kazumi Hatayama, Michinobu Nakao, Yasuo Sato At-Speed Built-in Test for Logic Circuits with Multiple Clocks. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
30Irith Pomeranz, Sudhakar M. Reddy A Storage-Based Built-In Test Pattern Generation Method for Scan Circuits Based on Partitioning and Reduction of a Precomputed Test Set. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF scan circuits, Built-in testing, Cartesian product
30Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar Deterministic built-in test pattern generation for high-performance circuits using twisted-ring counters. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
29Naveena Nagi, Abhijit Chatterjee, Ashok Balivada, Jacob A. Abraham Efficient multisine testing of analog circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF waveform analysis, biquadratic filters, multisine testing, test waveform generation, test confidence, fault-based automatic test pattern generator, successive gradient method, sinusoidal signals, fault coverage maximization, biquadratic filter, AC testing, analog IC, fault diagnosis, built-in self test, integrated circuit testing, automatic testing, analog circuits, built-in test, analogue integrated circuits, linear analog circuits
29Irith Pomeranz, Sudhakar M. Reddy, Yervant Zorian A Test Interface for Built-In Test of Non-Isolated Scanned Cores. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
29Philipp Öhler, Sybille Hellebrand, Hans-Joachim Wunderlich An Integrated Built-In Test and Repair Approach for Memories with 2D Redundancy. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Ondrej Novák, Zdenek Plíva, Jiri Jenícek, Zbynek Mader, Michal Jarkovský Self Testing SoC with Reduced Memory Requirements and Minimized Hardware Overhead. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy A Partitioning and Storage Based Built-In Test Pattern Generation Method for Delay Faults in Scan Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Irith Pomeranz, Sudhakar M. Reddy A Partitioning and Storage Based Built-In Test Pattern Generation Method for Scan Circuits. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Taewoong Jeon, Hyonwoo Seung, Sungyoung Lee Embedding built-in tests in hot spots of an object-oriented framework. Search on Bibsonomy ACM SIGPLAN Notices The full citation details ... 2002 DBLP  DOI  BibTeX  RDF hook classes, testability, object-oriented framework, built-in test (BIT)
25Achintya Halder, Abhijit Chatterjee Specification Based Digital Compatible Built-in Test of Embedded Analog Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
24Dimitrios Kagaris, Spyros Tragoudas A multiseed counter TPG with performance guarantee. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF built-in test pattern generators, multiseed counter test pattern generator, low hardware overhead, fast CAD tool, ISCAS'85 benchmarks, hardware/time overhead, built-in self test, performance guarantee, test set generation
23Murali M. R. Gala, Don E. Ross, Karan L. Watson, Beena Vasudevan, Peter Utama Built-in self test for C-testable ILA's. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
23Wolfgang O. Budde Modular testprocessor for VLSI chips and high-density PC boards. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
23Kazumi Hatayama, Michinobu Nakao, Yoshikazu Kiyoshige, Koichiro Natsume, Yasuo Sato, Takaharu Nagumo Application of High-Quality Built-In Test to Industrial Designs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Chaoming Zhang, Ranjit Gharpurey, Jacob A. Abraham Low Cost RF Receiver Parameter Measurement with On-Chip Amplitude Detectors. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Amplitude detector, RF detector, RF receiver, Built-in test, RF test
23Yingxu Wang 0001, Graham King, Hakan Wickburg A Method for Built-in Tests in Component-based Software Maintenance. Search on Bibsonomy CSMR The full citation details ... 1999 DBLP  DOI  BibTeX  RDF maintenance mode, normal mode, test component reuse, reengineering maintenance, Software engineering, software maintenance, software components, built-in test
22Chaoming Zhang, Ranjit Gharpurey, Jacob A. Abraham Built-In Test of RF Mixers Using RF Amplitude Detectors. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Franck Barbier, Nicolas Belloir Component Behavior Prediction and Monitoring through Built-In Test. Search on Bibsonomy ECBS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
21Matthias Merdes, Rainer Malaka, Dima Suliman, Barbara Paech, Daniel Brenner, Colin Atkinson 0001 Ubiquitous RATs: how resource-aware run-time tests can improve ubiquitous software systems. Search on Bibsonomy SEM The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MORABIT, resource-aware test (RAT), run-time testing, ubiquitous software, built-in test (BIT)
21Kosuke Nanbara, Akihiro Odoriba, Masaki Hashizume, Hiroyuki Yotsuyanagi, Shyue-Kung Lu Electrical interconnect test of 3D ICs made of dies without ESD protection circuits with a built-in test circuit. Search on Bibsonomy 3DIC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
21Philipp Öhler, Sybille Hellebrand, Hans-Joachim Wunderlich Analyzing Test and Repair Times for 2D Integrated Memory Built-in Test and Repair. Search on Bibsonomy DDECS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Irith Pomeranz, Sudhakar M. Reddy Built-In Test Sequence Generation for Synchronous Sequential Circuits Based on Loading and Expansion of Test Subsequences. Search on Bibsonomy DAC The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
21Ting-Ting Y. Lin, Huoy-Yu Liou A New Framework for Designing: Built-in Test Multichip Modules with Pipelined Test Strategy. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
21Magdy S. Abadir, Melvin A. Breuer Test Schedules for VLSI Circuits Having Built-In Test Hardware. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF testable design methodology, testing, pipelining, Design for testability, test schedules, test plans
21Ramaswami Dandapani, Janak H. Patel, Jacob A. Abraham Design of Test Pattern Generators for Built-In Test. Search on Bibsonomy ITC The full citation details ... 1984 DBLP  BibTeX  RDF
20Dongchao Ji, Bifeng Song, Fei Han An Improved KNN Algorithm of Intelligent Built-in Test. Search on Bibsonomy ICNSC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Daniel Brenner, Colin Atkinson 0001, Rainer Malaka, Matthias Merdes, Barbara Paech, Dima Suliman Reducing verification effort in component-based software engineering through built-in testing. Search on Bibsonomy Inf. Syst. Frontiers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Run-time testing, MORABIT, Built-in test, Integration test
17Alberto Valdes-Garcia, José Silva-Martínez, Edgar Sánchez-Sinencio On-Chip Testing Techniques for RF Wireless Transceivers. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Wireless Transceivers, Loop-back test, Built-in test, RF test
17Subhasish Mitra, Steven S. Lumetta, Michael Mitzenmacher, Nishant Patil X-Tolerant Test Response Compaction. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Testability, Built-In Test, VLSI Test
17Irith Pomeranz, Sudhakar M. Reddy On the Use of Fully Specified Initial States for Testing of Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF irredundant faults, built-in test generation, test generation, synchronous sequential circuits, Initial states
17James W. Watterson, Jill J. Hallenbeck Modulo 3 Residue Checker: New Results on Performance and Cost. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1988 DBLP  DOI  BibTeX  RDF modulo-3 residue code checker, pipelined serial multiplier, concurrent self-test, minimum error latency, multiplier input operands, 4- mu m NMOS, standard cell design, performance evaluation, integrated circuit testing, error detection, automatic testing, digital arithmetic, pipeline processing, multiplying circuits, built in test, field effect integrated circuits, error detection coverage
17Wilfried Daehn, Joachim Mucha A Hardware Approach to Self-Testing of Large Programmable Logic Arrays. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF programmable logic array (PLA), Built-in test, pattern generation, nonlinear feedback shift registers
17Edward J. McCluskey, Saied Bozorgui-Nesbat Design for Autonomous Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1981 DBLP  DOI  BibTeX  RDF CMOS testing, partitioning, design for testability, test pattern generation, self-test, Built-in test, signature analysis, VLSI testing, stuck- open faults, exhaustive testing
17Norman Benowitz, Donald F. Calhoun, Gary E. Alderson, John E. Bauer, Carl T. Joeckel An Advanced Fault Isolation System for Digital Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF large-scale integration (LSI) testing, subsystem test, self test, built-in test (BIT), Automatic test equipment (ATE), fault isolation, system maintenance, test response
16Selim Sermet Akbay, Abhijit Chatterjee Feature Extraction Based Built-In Alternate Test of RF Components Using a Noise Reference. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Uros Kac, Franc Novak, Srecko Macek, Marina Santo Zarnik Alternative Test Methods Using IEEE 1149.4. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Congzhi Huang, Yang Yang IFCN-BIASN Based Built-In Test Signal State Recognition for Heavy-Duty Gas Turbine Controller. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Fei Guan, Wei-Wei Cui, Lian-Feng Li, Weikang Xue, Xiaodong Ma, Dongpao Hong A Method of False Alarm Recognition in Built-in Test Considering Its Time Series Characteristics. Search on Bibsonomy IEEE Trans. Ind. Electron. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Congzhi Huang, Yasong Wang, Guolian Hou, Jianhua Zhang 0007 An LSTM-BINN Approach for Built-In Test Analog Signal State Recognition of Heavy-Duty Gas Turbine Controllers. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
16Junyou Shi, Qingjie He, Zili Wang Integrated Stateflow-based simulation modelling and testability evaluation for electronic built-in-test (BIT) systems. Search on Bibsonomy Reliab. Eng. Syst. Saf. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Konstantinos Poulos, Themistoklis Haniotakis A Built In Test circuit for waveform classification at high frequencies. Search on Bibsonomy NATW The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Irith Pomeranz Storage Based Built-In Test Pattern Generation Method for Close-to-Functional Broadside Tests. Search on Bibsonomy IOLTS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
16Matthias Kampmann, Michael A. Kochte, Chang Liu 0010, Eric Schneider, Sybille Hellebrand, Hans-Joachim Wunderlich Built-In Test for Hidden Delay Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Thomas Moon, Hyun Woo Choi, David C. Keezer, Abhijit Chatterjee Efficient Built-In Test and Calibration of High Speed Serial I/O Systems Using Monobit Signal Acquisition. Search on Bibsonomy J. Electron. Test. The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
16Badi Guibane, Belgacem Hamdi, Brahim Bensalem, Abdellatif Mtibaa A novel efficient TSV built-in test for stacked 3D ICs. Search on Bibsonomy Turkish J. Electr. Eng. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Jun-Yang Lei, Thomas Moon, Justin Chow, Suresh K. Sitaraman, Abhijit Chatterjee A Monobit Built-In Test and Diagnostic System for Flexible Electronic Interconnect. Search on Bibsonomy ATS The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Wen-Hsuan Hsu, Michael Andreas Kochte, Kuen-Jong Lee Built-In Test and Diagnosis for TSVs With Different Placement Topologies and Crosstalk Impact Ranges. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Ayumu Kambara, Hiroyuki Yotsuyanagi, Daichi Miyoshi, Masaki Hashizume, Shyue-Kung Lu Open Defect Detection with a Built-in Test Circuit by IDDT Appearance Time in CMOS ICs. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Sabyasachi Deyati, Barry J. Muldrey, Byunghoo Jung, Abhijit Chatterjee Concurrent built in test and tuning of beamforming MIMO systems using learning assisted performance optimization. Search on Bibsonomy ITC The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
16Widianto, Masaki Hashizume, Shohei Suenaga, Hiroyuki Yotsuyanagi, Akira Ono, Shyue-Kung Lu, Zvi Roth A Built-in Test Circuit for Electrical Interconnect Testing of Open Defects in Assembled PCBs. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
16Athanasios Dimakos, Haralampos-G. D. Stratigopoulos, Alexandre Siligaris, Salvador Mir, Emeric de Foucauld Built-in test of millimeter-Wave circuits based on non-intrusive sensors. Search on Bibsonomy DATE The full citation details ... 2016 DBLP  BibTeX  RDF
16Athanasios Dimakos, Haralampos-G. D. Stratigopoulos, Alexandre Siligaris, Salvador Mir, Emeric de Foucauld Parametric Built-In Test for 65nm RF LNA Using Non-Intrusive Variation-Aware Sensors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Li Xu 0006, Marvin Onabajo A low-power temperature-compensated relaxation oscillator for built-in test signal generation. Search on Bibsonomy MWSCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Xian Wang 0003, Kenfack Blanchard, Estella Silva, Abhijit Chatterjee "Safe" built-in test and tuning of boost converters using feedback loop perturbations. Search on Bibsonomy LATS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
16Ayssar Serhan, Louay Abdallah, Haralampos-G. D. Stratigopoulos, Salvador Mir Low-cost EVM built-in test of RF transceivers. Search on Bibsonomy IDT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
16Xian Wang 0003, Blanchard Kenfack, Estella Silva, Abhijit Chatterjee Built-In Test of Switched-Mode Power Converters: Avoiding DUT Damage Using Alternative Safe Measurements. Search on Bibsonomy Asian Test Symposium The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Louay Abdallah, Haralampos-G. D. Stratigopoulos, Salvador Mir True non-intrusive sensors for RF built-in test. Search on Bibsonomy ITC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
16Miao Zhang 0001, Yi Shen 0001, Xiao-Lei Zhang 0003, Zhi-Bo Wang, Ye Zhang 0008 Built-in Test Signal Feature Extraction Method Based on Hilbert-Huang Transform. Search on Bibsonomy Adv. Data Sci. Adapt. Anal. The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Louay Abdallah, Haralampos-G. D. Stratigopoulos, Salvador Mir, Christophe Kelma Experiences with non-intrusive sensors for RF built-in test. Search on Bibsonomy ITC The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
16Manuel J. Barragan Asian, Diego Vázquez, Adoración Rueda Analog Sinewave Signal Generators for Mixed-Signal Built-in Test Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Widianto, Hiroyuki Yotsuyanagi, Akira Ono, Masao Takagi, Masaki Hashizume A built-in test circuit for open defects at interconnects between dies in 3D ICs. Search on Bibsonomy 3DIC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
16Lambros Dermentzoglou, Angela Arapoyanni, Yiorgos Tsiatouhas A Built-In-Test Circuit for RF Differential Low Noise Amplifiers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Wimol San-Um, Masayoshi Tachibana A low-jitter supply-regulated charge pump phase-locked loop with built-in test and calibration. Search on Bibsonomy ISCAS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
16Marvin Onabajo, José Silva-Martínez, Félix O. Fernandez-Rodriguez, Edgar Sánchez-Sinencio An On-Chip Loopback Block for RF Transceiver Built-In Test. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
16Qais Al-Gayem, Hongyuan Liu 0001, Andrew Richardson 0001, Nick Burd Built-in Test Solutions for the Electrode Structures in Bio-Fluidic Microsystems. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF bio-fluidics, MNT, self-test, microfluidics, embedded test
16Vishwanath Natarajan, Rajarajan Senguttuvan, Shreyas Sen, Abhijit Chatterjee Built-in Test Enabled Diagnosis and Tuning of RF Transmitter Systems. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Xiaohua Fan, Marvin Onabajo, Félix O. Fernandez-Rodriguez, José Silva-Martínez, Edgar Sánchez-Sinencio A Current Injection Built-In Test Technique for RF Low-Noise Amplifiers. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Rajarajan Senguttuvan, Hyun Woo Choi, Donghoon Han, Abhijit Chatterjee Built-in Test of Frequency Modulated RF Transmitters Using Embedded Low-Pass Filters. Search on Bibsonomy ETS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Alodeep Sanyal, Sandip Kundu A Built-in Test and Characterization Method for Circuit Marginality Related Failures. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Circuit Marginality, Pseudorandom Pattern Generator (PRPG), Multiple Input Signature Register (MISR), Fmax testing based on frequency shmoo, Built-In Self-Test (BIST), Design-for-Testability (DFT), Linear Feedback Shift Register (LFSR)
16Guoyan Zhang, Ronan Farrell Embedded Built-In-Test Detection Circuit for Radio Frequency Systems and Circuits. Search on Bibsonomy DDECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Guoyan Zhang, Ronan Farrell An Embedded Rectifier-Based Built-In-Test Circuit for CMOS RF Circuits. Search on Bibsonomy ICECS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16Zhen Liu, Hui Lin, Xin (Robert) Luo Intelligent Built-in Test (BIT) for More-Electric Aircraft Power System Based on Hybrid Generalized LVQ Neural Network. Search on Bibsonomy ISNN (2) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
16An Sang Hou A built-in-test scheme for evaluating the parameters of floating-gate MOS transistors. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Karen Taylor, Bryan Nelson, Alan Chong, Henry C. Lin, Eddie Chan, Mani Soma, Hosam Haggag, Jeff Huard, Jim Braatz Special issue on BIT CMOS built-in test architecture for high-speed jitter measurement. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16John W. Sheppard, Mark A. Kaufman A Bayesian approach to diagnosis and prognosis using built-in test. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Robert W. Gao Guest Editorial Special Section on Built-In-Test. Search on Bibsonomy IEEE Trans. Instrum. Meas. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Selim Sermet Akbay, Abhijit Chatterjee Built-In Test of RF Components Using Mapped Feature Extraction Sensors. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Hao-Yung Lo, Hsiu-Feng Lin, Chichyang Chen, Jenshiuh Liu, Chia-Cheng Liu Built-in Test with Modified-Booth High-Speed Pipelined Multipliers and Dividers. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF generator, BIST, computer arithmetic, polynomials, VLSI design, multiplication, division
16Henry C. Lin, Karen Taylor, Alan Chong, Eddie Chan, Mani Soma, Hosam Haggag, Jeff Huard, Jim Braatz CMOS Built-In Test Architecture for High-Speed Jitter Measurement. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
16Yingxu Wang 0001, Shushma Patel, Dilip Patel On Built-in Test Classes for Object-Oriented and Component-Based Information Systems. Search on Bibsonomy OOIS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Irith Pomeranz, Sudhakar M. Reddy A Partitioning and Storage Based Built-in Test Pattern Generation Method for Synchronous Sequential Circuits. Search on Bibsonomy ICCD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
16Luigi Carro, André C. Nácul, Daniel Janner, Marcelo Lubaszewski Built-in Test of Analog Non-Linear Circuits in a SOC Environment. Search on Bibsonomy VLSI-SOC The full citation details ... 2001 DBLP  BibTeX  RDF
16Irith Pomeranz, Sudhakar M. Reddy On the use of multiple fault detection times in a method for built-in test pattern generation for synchronous sequential circuits. Search on Bibsonomy ETW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
16Krishnendu Chakrabarty, Brian T. Murray, Vikram Iyengar Built-in Test Pattern Generation For High-Performance Cir cuits Using Twisted-Ring Counters. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Krishnendu Chakrabarty, Brian T. Murray Design of built-in test generator circuits using width compression. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Irith Pomeranz, Sudhakar M. Reddy Improved built-in test pattern generators based on comparison units for synchronous sequential circuits. Search on Bibsonomy ICCD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Sybille Hellebrand, Janusz Rajski, Steffen Tarnick, Srikanth Venkataraman, Bernard Courtois Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shift Registers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
16Colin M. Maunder A Universal Framework for Managed Built-in Test. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
16Michael Zimmermann, Manfred Geilert Generation of embedded RAMs with built-in test using object-oriented programming. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
16Fevzi Belli, Ismael Camara, Alfred Schmidt A Built-in Test Language for PROLOG to Validate Knowledge-Based Systems. Search on Bibsonomy IEA/AIE (Vol. 2) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF Prolog
16Colin Maunder Built-in test for VLSI - pseudorandom techniques: Bardell, P H, McArney, W H and Savir, J Wiley, New York, NY, USA (1987) £45.00 pp 354. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 153 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license