The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase intra-die (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1996-2004 (22) 2005 (18) 2006 (18) 2007-2008 (29) 2009-2011 (16) 2014-2018 (5)
Publication types (Num. hits)
article(16) inproceedings(92)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 95 occurrences of 68 keywords

Results
Found 108 publication records. Showing 108 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
69Sani R. Nassif Design for Variability in DSM Technologies. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
62Qiang Fu, Wai-Shing Luk, Jun Tao 0001, Changhao Yan, Xuan Zeng 0001 Characterizing Intra-Die Spatial Correlation Using Spectral Density Method. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF intra-die variation, spectral domain, spectral density, spatial correlation
62Sarvesh Bhardwaj, Sarma B. K. Vrudhula, Praveen Ghanta, Yu Cao 0001 Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Karhunen-Loeve, intra-die, correlations, process variations, statistical, leakage
61Daniel Iparraguirre-Cardenas, Jose Luis Garcia-Gervacio, Víctor H. Champac A design methodology for logic paths tolerant to local intra-die variations. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
61Jianfeng Luo, Subarna Sinha, Qing Su, Jamil Kawa, Charles C. Chiang An IC manufacturing yield model considering intra-die variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF random variation, systematic variation, CMP, spatial correlation, manufacturing yield
50Vineeth Veetil, Dennis Sylvester, David T. Blaauw, Saumil Shah, Steffen Rochel Efficient smart sampling based full-chip leakage analysis for intra-die variation considering state dependence. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF statistical leakage, Monte Carlo, variance reduction
50Maryam Ashouei, Muhammad Mudassar Nisar, Abhijit Chatterjee, Adit D. Singh, Abdulkadir Utku Diril Probabilistic Self-Adaptation of Nanoscale CMOS Circuits: Yield Maximization under Increased Intra-Die Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Abhranil Maiti, Patrick Schaumont Impact and compensation of correlated process variation on ring oscillator based puf. Search on Bibsonomy FPGA The full citation details ... 2009 DBLP  DOI  BibTeX  RDF correlated process variation, physical unclonable function (puf), ring oscillator (ro), fpga
45Rajeev R. Rao, Ashish Srivastava, David T. Blaauw, Dennis Sylvester Statistical estimation of leakage current considering inter- and intra-die process variation. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF variability, Monte Carlo, leakage current
39Marshnil Vipin Dave, Maryam Shojaei Baghini, Dinesh Kumar Sharma A process variation tolerant, high-speed and low-power current mode signaling scheme for on-chip interconnects. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF current mode singnaling, dynamic overdriving, process variation tolerant
39Aseem Agarwal, David T. Blaauw, Vladimir Zolotov Statistical Clock Skew Analysis Considering Intra-Die Process Variations. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
34Keith A. Bowman, James W. Tschanz, Muhammad M. Khellah, Maged Ghoneima, Yehea I. Ismail, Vivek De Time-borrowing multi-cycle on-chip interconnects for delay variation tolerance. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF intra-die variations, multi-cycle interconnect, parameter fluctuations, time borrowing, interconnect, parameter variations, within-die variations, variation tolerant
33Bram Kruseman, Stefan van den Oetelaar Detection of Resistive Shorts in Deep Sub-micron Technologies. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
33Aseem Agarwal, David T. Blaauw, Vladimir Zolotov Statistical Timing Analysis for Intra-Die Process Variations with Spatial Correlations. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Niladri Narayan Mojumder, Saibal Mukhopadhyay, Jae-Joon Kim, Ching-Te Chuang, Kaushik Roy 0001 Design and Analysis of a Self-Repairing SRAM with On-Chip Monitor and Compensation Circuitry. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Design, yield, failure, SRAM, variation
28Hongliang Chang, Sachin S. Sapatnekar Statistical timing analysis under spatial correlations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28Paul S. Zuchowski, Peter A. Habitz, J. D. Hayes, J. H. Oppold Process and environmental variation impacts on ASIC timing. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Amith Singhee, Sonia Singhal, Rob A. Rutenbar Exploiting Correlation Kernels for Efficient Handling of Intra-Die Spatial Correlation, with Application to Statistical Timing. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Jeff Mueller, Resve A. Saleh A Tunable Clock Buffer for Intra-die PVT Compensation in Single-Edge Clock (SEC) Distribution Networks. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Sarvesh Bhardwaj, Sarma B. K. Vrudhula A Fast and Accurate approach for Full Chip Leakage Analysis of Nano-scale circuits considering Intra-die Correlations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Praveen Ghanta, Sarma B. K. Vrudhula, Sarvesh Bhardwaj, Rajendran Panda Stochastic variational analysis of large power grids considering intra-die correlations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF orthonormal polynomials, correlations, process variations, power grids, stochastic analysis, polynomial chaos
28Hratch Mangassarian, Mohab Anis On Statistical Timing Analysis with Inter- and Intra-Die Variations. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
28M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
26Meysam Taassori, Ali Shafiee, Rajeev Balasubramonian Understanding and alleviating intra-die and intra-DIMM parameter variation in the memory system. Search on Bibsonomy ICCD The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
25Vladimir Pasca, Lorena Anghel, Claudia Rusu, Riccardo Locatelli, Massimo Coppola Error resilience of intra-die and inter-die communication with 3D spidergon STNoC. Search on Bibsonomy DATE The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
23Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw Design-Time Optimization of Post-Silicon Tuned Circuits Using Adaptive Body Bias. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
23Sarvesh H. Kulkarni, Dennis Sylvester, David T. Blaauw A statistical framework for post-silicon tuning through body bias clustering. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
23Cassondra Neau, Kaushik Roy 0001 Optimal body bias selection for leakage improvement and process compensation over different technology generations. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF band-to-band tunneling, leakage components, process compensation, substrate bias, process variation, leakage current, CMOS scaling, body bias
23Carlo Guardiani, Sharad Saxena, Patrick McNamara, Phillip Schumaker, Dale Coder An asymptotically constant, linearly bounded methodology for the statistical simulation of analog circuits including component mismatch effects. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
23Tuna B. Tarim, Mohammed Ismail 0001 Functional yield enhancement and statistical design of a low power transconductor. Search on Bibsonomy ISCAS (2) The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Arjun Kapoor, Nikhil Jayakumar, Sunil P. Khatri Dynamically De-Skewable Clock Distribution Methodology. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Chintan Patel, Abhishek Singh 0001, Jim Plusquellic Defect Detection Using Quiescent Signal Analysis. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF multiple current measurements, Quiescent Signal Analysis, IDDQ, current testing, defect-based testing, parametric testing
22Arjun Kapoor, Nikhil Jayakumar, Sunil P. Khatri A novel clock distribution and dynamic de-skewing methodology. Search on Bibsonomy ICCAD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Kiyoo Itoh 0001 Leakage- and variability-conscious circuit designs for the 0.5-v nanoscale CMOS era. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 0.5-v nanoscale cmos lsis, conventional mosfet, minimum vdd, speed variation, vt variation, leakage, sram, dram, finfet
17Soner Yaldiz, Umut Arslan, Xin Li 0001, Larry T. Pileggi Efficient statistical analysis of read timing failures in SRAM circuits. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Ashish Srivastava, Kaviraj Chopra, Saumil Shah, Dennis Sylvester, David T. Blaauw A Novel Approach to Perform Gate-Level Yield Analysis and Optimization Considering Correlated Variations in Power and Performance. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Abu Saad Papa, Madhu Mutyam Power management of variation aware chip multiprocessors. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF chipmulti-processor, process variation, power-aware, adaptive voltage scaling
17Shaobo Liu, Qinru Qiu, Qing Wu 0002 Full-chip leakage current estimation based on statistical sampling techniques. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF leakage estimation, statistical sampling, vlsi
17Amit Goel, Sarma B. K. Vrudhula, Feroze Taraporevala, Praveen Ghanta A Methodology for Characterization of Large Macro Cells and IP Blocks Considering Process Variations. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
17Sebastian Herbert, Diana Marculescu Characterizing chip-multiprocessor variability-tolerance. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF frequency islands, chip-multiprocessor, process variability
17Xiaoji Ye, Frank Liu 0001, Peng Li 0001 Fast Variational Interconnect Delay and Slew Computation Using Quadratic Models. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Francesco Centurelli, Luca Giancane, Mauro Olivieri, Giuseppe Scotti, Alessandro Trifiletti A Statistical Model of Logic Gates for Monte Carlo Simulation Including On-Chip Variations. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan, Yici Cai, Xianlong Hong Statistical model order reduction for interconnect circuits considering spatial correlations. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Jeffrey Fan, Ning Mi, Sheldon X.-D. Tan Voltage drop reduction for on-chip power delivery considering leakage current variations. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Swaroop Ghosh, Patrick Ndai, Swarup Bhunia, Kaushik Roy 0001 Tolerance to Small Delay Defects by Adaptive Clock Stretching. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Sarma B. K. Vrudhula, Sarvesh Bhardwaj Tutorial T6: Robust Design of Nanoscale Circuits in the Presence of Process Variations. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Zhuo Feng, Peng Li 0001, Yaping Zhan Fast Second-Order Statistical Static Timing Analysis Using Parameter Dimension Reduction. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 Delay Modeling and Statistical Design of Pipelined Circuit Under Process Variation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Domenik Helms, Marko Hoyer, Wolfgang Nebel Accurate PTV, State, and ABB Aware RTL Blackbox Modeling of Subthreshold, Gate, and PN-Junction Leakage. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Priya Sundararajan, Aman Gayasen, Narayanan Vijaykrishnan, Tim Tuan Thermal characterization and optimization in platform FPGAs. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Virtex4, platform FPGAs, thermal floorplan, placement, temperature, thermal
17Xiaoji Ye, Peng Li 0001, Frank Liu 0001 Practical variation-aware interconnect delay and slew analysis for statistical timing verification. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Eugenio Culurciello, Andreas G. Andreou 3D integrated sensors in silicon-on-sapphire CMOS. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, Kaushik Roy 0001 Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF adaptive source biasing, hold failures, low power SRAM
17Xin Li 0001, Jiayong Le, Lawrence T. Pileggi Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF statistical analysis, leakage power
17Qikai Chen, Hamid Mahmoodi-Meimand, Swarup Bhunia, Kaushik Roy 0001 Efficient testing of SRAM with optimized march sequences and a novel DFT technique for emerging failures due to process variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Kunhyuk Kang, Bipul Chandra Paul, Kaushik Roy 0001 Statistical Timing Analysis using Levelized Covariance Propagation. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Qikai Chen, Saibal Mukhopadhyay, Hamid Mahmoodi, Kaushik Roy 0001 Process Variation Tolerant Online Current Monitor for Robust Systems. Search on Bibsonomy IOLTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Ashish Srivastava, Saumil Shah, Kanak Agarwal, Dennis Sylvester, David T. Blaauw, Stephen W. Director Accurate and efficient gate-level parametric yield estimation considering correlated variations in leakage power and performance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF correlation, variability, yield, leakage
17Jiayong Le, Xin Li 0001, Lawrence T. Pileggi STAC: statistical timing analysis with correlation. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF process variation, statistical timing
17José Pineda de Gyvez, Rosa Rodríguez-Montañés Threshold Voltage Mismatch (DeltaVT) Fault Modeling. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Sandip Kundu, Sujit T. Zachariah, Sanjay Sengupta, Rajesh Galivanche Test Challenges in Nanometer Technologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF circuit marginality testing, process marginality testing, defect based testing, path delay testing
17Wieslaw Kuzmicz Internet-Based Virtual Manufacturing: A Verification Tool for IC Designs. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF analog IC design, statistical simulation, virtual manufacturing
17Zahira Perez, Hector Villacorta, Víctor H. Champac An accurate novel gate-sizing metric to optimize circuit performance under local intra-die process variations. Search on Bibsonomy VLSI-SoC The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
17Fakir Sharif Hossain, Tomokazu Yoneda, Michihiro Shintani, Michiko Inoue, Alex Orailoglu Intra-Die-Variation-Aware Side Channel Analysis for Hardware Trojan Detection. Search on Bibsonomy ATS The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
17Víctor H. Champac, Alejandra Nicte-ha Reyes, Andres F. Gomez Circuit performance optimization for local intra-die process variations using a gate selection metric. Search on Bibsonomy VLSI-SoC The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
17Youngok K. Pino, Vinayaka Jyothi, Matthew French Intra-die process variation aware anomaly detection in FPGAs. Search on Bibsonomy ITC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
17Jeffrey G. Mueller, Resve A. Saleh Autonomous, Multilevel Ring Tuning Scheme for Post-Silicon Active Clock Deskewing Over Intra-Die Variations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Mohd Azman Abdul Latif, Noohul Basheer Zain Ali, Fawnizu Azmadi Hussin IDVP (Intra-Die Variation Probe) for System-On-Chip (SoC) Infant Mortality screen. Search on Bibsonomy ISCAS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Luís Guerra e Silva, Luís Miguel Silveira Handling intra-die variations in PSTA. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
17Bahman Kheradmand Boroujeni, Christian Piguet, Yusuf Leblebici Logic Architecture and VDD Selection for Reducing the Impact of Intra-die Random VT Variations on Timing. Search on Bibsonomy PATMOS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
17Qiang Fu, Wai-Shing Luk, Jun Tao 0001, Xuan Zeng 0001, Wei Cai 0003 Intra-Die Spatial Correlation Extraction with Maximum Likelihood Estimation Method for Multiple Test Chips. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Qiang Fu, Wai-Shing Luk, Jun Tao 0001, Changhao Yan, Xuan Zeng 0001 Characterizing Intra-Die Spatial Correlation Using Spectral Density Fitting Method. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Zhuo Feng, Peng Li 0001, Zhuoxiang Ren SICE: design-dependent statistical interconnect corner extraction under inter/intra-die variations. Search on Bibsonomy IET Circuits Devices Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17David Levacq, Takuya Minakawa, Makoto Takamiya, Takayasu Sakurai A Wide Range Spatial Frequency Analysis of Intra-Die Variations with 4-mm 4000 × 1 Transistor Arrays in 90nm CMOS. Search on Bibsonomy CICC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Manjari Agarwal, Praveen Elakkumanan, Ramalingam Sridhar Intra-die process parameter variation and leakage analysis of cache at the microarchitectural level. Search on Bibsonomy SoCC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
17Amit Agarwal 0001, Kunhyuk Kang, Kaushik Roy 0001 Accurate estimation and modeling of total chip leakage considering inter- & intra-die process variations. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xin Li 0001, Peng Li 0001, Lawrence T. Pileggi Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Xin Li 0001, Jiayong Le, Lawrence T. Pileggi, Andrzej J. Strojwas Projection-based performance modeling for inter/intra-die variations. Search on Bibsonomy ICCAD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17José Pineda de Gyvez, Hans Tuinhout Threshold voltage mismatch and intra-die leakage current in digital CMOS circuits. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Tom W. Chen, Justin Gregg A Low Cost Individual-Well Adaptive Body Bias (IWABB) Scheme for Leakage Power Reduction and Performance Enhancement in the Presence of Intra-Die Variations. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy ISLPED The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
11Zhuo Feng, Peng Li 0001, Yaping Zhan An On-the-Fly Parameter Dimension Reduction Approach to Fast Second-Order Statistical Static Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
11Jifeng Chen, Jin Sun 0006, Janet Meiling Wang Robust interconnect communication capacity algorithm by geometric programming. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF communication capacity, optimization, robust, uncertainty, process variation, geometric programming, ellipsoid
11Atanu Chattopadhyay, Zeljko Zilic Serial reconfigurable mismatch-tolerant clock distribution. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF process variation, clock skew, clock networks
11Norbert Dumas, Florence Azaïs, Frédérick Mailly, Andrew Richardson 0001, Pascal Nouet A novel method for test and calibration of capacitive accelerometers with a fully electrical setup. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Bao Liu 0001 Spatial Correlation Extraction via Random Field Simulation and Production Chip Performance Regression. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Jawar Singh, Jimson Mathew, Dhiraj K. Pradhan, Saraju P. Mohanty Failure analysis for ultra low power nano-CMOS SRAM under process variations. Search on Bibsonomy SoCC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Taro Niiyama, Piao Zhe, Koichi Ishida, Masami Murakata, Makoto Takamiya, Takayasu Sakurai Dependence of Minimum Operating Voltage (VDDmin) on Block Size of 90-nm CMOS Ring Oscillators and its Implications in Low Power DFM. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
11Muhammad Mudassar Nisar, Abhijit Chatterjee Test Enabled Process Tuning for Adaptive Baseband OFDM Processor. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Adaptive Signal Scaling, Timing test, Low power, OFDM
11Amir M. Amiri, Abdelhakim Khouas, Mounir Boukadoum On the Timing Uncertainty in Delay-Line-based Time Measurement Applications Targeting FPGAs. Search on Bibsonomy ISCAS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
11Antonis Papanikolaou, Miguel Miranda, Hua Wang, Francky Catthoor, M. Satyakiran, Pol Marchal, Ben Kaczer, C. Bruynseraede, Zsolt Tokei Reliability issues in deep deep sub-micron technologies: time-dependent variability and its impact on embedded system design. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Mongkol Ekpanyapong, Thaisiri Watewai, Sung Kyu Lim Statistical Bellman-Ford algorithm with an application to retiming. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Sarvesh Bhardwaj, Praveen Ghanta, Sarma B. K. Vrudhula A framework for statistical timing analysis using non-linear delay and slew models. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Domenik Helms, Günter Ehmen, Wolfgang Nebel Analysis and modeling of subthreshold leakage of RT-components under PTV and state variation. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF modeling, process variation, leakage, state dependence
11Xiaoning Qi, Alex Gyure, Yansheng Luo, Sam C. Lo, Mahmoud Shahram, Kishore Singhal Measurement and characterization of pattern dependent process variations of interconnect resistance, capacitance and inductance in nanometer technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF measurement, process variations, extraction, VLSI interconnects
11Young-Gu Kim, Sang-Hoon Lee, Dae-Han Kim, Jae-Woo Im, Sung-Eun Yu, Dae-Wook Kim, Young-Kwan Park, Jeong-Taek Kong Sensing Margin Analysis of MLC Flash Memories Using a Novel Unified Statistical Model. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
11Aseem Agarwal, Kaviraj Chopra, David T. Blaauw Statistical Timing Based Optimization using Gate Sizing. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11David T. Blaauw, Anirudh Devgan, Farid N. Najm Leakage power: trends, analysis and avoidance. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Animesh Datta, Swarup Bhunia, Saibal Mukhopadhyay, Kaushik Roy 0001 A Statistical Approach to Area-Constrained Yield Enhancement for Pipelined Circuits under Parameter Variations. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
11Arijit Raychowdhury, Saibal Mukhopadhyay, Kaushik Roy 0001 A Feasibility Study of Subthreshold SRAM Across Technology Generations. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 108 (100 per page; Change: )
Pages: [1][2][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license