The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase scan-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1993 (15) 1994-1997 (17) 1998-2001 (18) 2002-2003 (30) 2004 (17) 2005 (22) 2006-2007 (27) 2008 (16) 2009-2010 (17) 2011-2015 (16) 2016-2021 (15) 2022-2023 (4)
Publication types (Num. hits)
article(63) inproceedings(151)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 166 occurrences of 100 keywords

Results
Found 214 publication records. Showing 214 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
85Youhua Shi, Shinji Kimura, Nozomu Togawa, Masao Yanagisawa, Tatsuo Ohtsuki Alternative Run-Length Coding through Scan Chain Reconfiguration for Joint Minimization of Test Data Volume and Power Consumption in Scan Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
76Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving test effectiveness of scan-based BIST by scan chain partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
71Diogo José Costa Alves, Edna Barros A logic built-in self-test architecture that reuses manufacturing compressed scan test patterns. Search on Bibsonomy SBCCI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LBIST, compressed test patterns, test, SoC, self-test
69V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Reducing SoC Test Time and Test Power in Hierarchical Scan Test : Scan Architecture and Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
65Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving Test Quality of Scan-Based BIST by Scan Chain Partitioning. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
63Markus Seuring Combining Scan Test and Built-in Self Test. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF MBIST, BIST, scan test, production test, stress test
62Dong Xiang, Ming-Jing Chen, Kaiwei Li, Yu-Liang Wu Scan-Based BIST Using an Improved Scan Forest Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
62Irith Pomeranz, Sudhakar M. Reddy Improved n-Detection Test Sequences Under Transparent Scan. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57Michael Cogswell, Shazia Mardhani, Kevin Melocco, Hina Arora A Structured Graphical Tool for Analyzing Boundary Scan Violations. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
57Luca Schiano, Yong-Bin Kim, Fabrizio Lombardi Scan Test of IP Cores in an ATE Environment. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
56Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
56Nuno Cardoso, Carlos Beltrán Almeida, José Carlos da Silva 0001 A System Level Boundary Scan Controller Board for VME Applications. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF IEEE 1149.1 boundary scan test, board level test and system level test, ATPG
51Sverre Wichlund, Frank Berntsen, Einar J. Aas Reducing ATE Bandwidth and memory requirements: A diagnosis friendly scan test response compactor. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Chris Feige, Jan Ten Pierick, Clemens Wouters, Ronald J. W. T. Tangelder, Hans G. Kerkhoff Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus-transfer, core reuse, test protocol, TIC, TTM, vector transfer, design-for-testability, ATPG, scan-test, AMBA
49Masayuki Tsukisaka, Masashi Imai, Takashi Nanya Asynchronous Scan-Latch controller for Low Area Overhead DFT. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
49Jeremy Lee, Mohammad Tehranipoor, Chintan Patel, Jim Plusquellic Securing Designs against Scan-Based Side-Channel Attacks. Search on Bibsonomy IEEE Trans. Dependable Secur. Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Security and Privacy Protection, Scan-Based Design, Secure Design, Reliability and Testing
49Ahmad A. Al-Yamani, Subhasish Mitra, Edward J. McCluskey Optimized reseeding by seed ordering and encoding. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Ching-Hwa Cheng Design Scan Test Strategy for Single Phase Dynamic Circuits. Search on Bibsonomy DFT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
46Frans Jong, José S. Matos, José M. Ferreira Boundary scan test, test methodology, and fault modeling. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF BST-net, PCB testing, diagnosis, fault modeling, test-pattern generation, boundary scan test
45Subhrajit Bhattacharya, Sujit Dey, Bhaskar Sengupta An RTL methodology to enable low overhead combinational testing. Search on Bibsonomy ED&TC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
45Irith Pomeranz N-detection under transparent-scan. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF test generation, scan design, n-detection test sets
44Nisar Ahmed, Mohammad Tehranipoor, C. P. Ravikumar, Kenneth M. Butler Local At-Speed Scan Enable Generation for Transition Fault Testing Using Low-Cost Testers. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
44Frank Poehl, Jan Rzeha, Matthias Beck, Michael Gössel, Ralf Arnold, Peter Ossimitz On-Chip Evaluation, Compensation, and Storage of Scan Diagnosis Data - A Test Time Efficient Scan Diagnosis Architecture. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
42Joep Aerts, Erik Jan Marinissen Scan chain design for test time reduction in core-based ICs. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
40Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar A critical-path-aware partial gating approach for test power reduction. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF partial gating, scan cell gating, Low-power testing, scan testing
40Mohammed ElShoukry, Mohammad Tehranipoor, C. P. Ravikumar Partial Gating Optimization for Power Reduction During Test Application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Dong Hyun Baik, Kewal K. Saluja State-reuse Test Generation for Progressive Random Access Scan: Solution to Test Power, Application Time and Data Size. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Ad J. van de Goor, Said Hamdioui, Zaid Al-Ars The Effectiveness of the Scan Test and Its New Variants. Search on Bibsonomy MTDT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
39Hiroyuki Yotsuyanagi, Masayuki Yamamoto, Masaki Hashizume Scan Chain Ordering to Reduce Test Data for BIST-Aided Scan Test Using Compatible Scan Flip-Flops. Search on Bibsonomy IEICE Trans. Inf. Syst. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
39Dong Xiang, Shan Gu, Jia-Guang Sun, Yu-Liang Wu A cost-effective scan architecture for scan testing with non-scan test power and test application cost. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
39Shantanu Gupta, Tarang Vaish, Santanu Chattopadhyay Flip-flop chaining architecture for power-efficient scan during test application. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Jing Wang, Shengbing Zhang, Zhang Meng Testing of a 32-bit High Performance Embedded Microprocessor. Search on Bibsonomy SIES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
38Josef Schmid, Joachim Knäblein Advanced Synchronous Scan Test Methodology for Multi Clock Domain ASICs. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
37Kuo-Liang Cheng, Jing-Reng Huang, Chih-Wea Wang, Chih-Yen Lo, Li-Ming Denq, Chih-Tsun Huang, Shin-Wei Hung, Jye-Yuan Lee An SOC Test Integration Platform and Its Industrial Realization. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
36Jacob Savir Module level weighted random patterns. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF module level self-test architecture, pseudorandom pattern generator, universal weighting generator, scan latch, near-optimal weight, signal pins, weight control function, self-test time, logic testing, probability, integrated circuit testing, automatic testing, multivalued logic circuits, boundary scan testing, scan test, weighted random patterns, multiple input signature register
35Ho Fai Ko, Nicola Nicolici Automated Scan Chain Division for Reducing Shift and Capture Power During Broadside At-Speed Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
34Hyungil Woo, Seokjun Jang, Sungho Kang 0001 A Secure Scan Architecture Protecting Scan Test and Scan Dump Using Skew-Based Lock and Key. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
33Shih Ping Lin 0001, Chung-Len Lee 0001, Jwu E. Chen Adaptive Encoding Scheme for Test Volume/Time Reduction in SoC Scan Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Janusz Rajski, Jerzy Tyszer, Mark Kassab, Nilanjan Mukherjee 0001 Embedded deterministic test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Harald P. E. Vranken, Tom Waayers, Hérvé Fleury, David Lelouvier Enhanced Reduced Pin-Count Test for Full-Scan Design. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF reduced pin-count test, core test, design for testability, ATE, boundary-scan test, scan test
32Praveen Parvathala High Level Test Generation / SW based Embedded Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Jeremy Lee, Mohammad Tehranipoor, Chintan Patel, Jim Plusquellic Securing Scan Design Using Lock and Key Technique. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Tian-Wei Huang, Pei-Si Wu, Ren-Chieh Liu, Jeng-Han Tsai, Huei Wang, Tzi-Dar Chiueh Boundary Scan for 5-GHz RF Pins Using LC Isolation Networks. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Laung-Terng Wang, Khader S. Abdel-Hafez, Shianling Wu, Xiaoqing Wen, Hiroshi Furukawa, Fei-Sheng Hsu, Shyh-Horng Lin, Sen-Wei Tsai VirtualScan: A New Compressed Scan Technology for Test Cost Reduction. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
32Ching-Hwa Cheng Adaptable Voltage Scan Testing of Charge-Sharing Faults for Domino Circuits. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Christian Galke, René Kothe, Sabine Schultke, K. Winkler, Jeanette Honko, Heinrich Theodor Vierhaus Embedded Scan Test with Diagnostic Features for Self-Testing SoCs. Search on Bibsonomy IOLTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
31O. A. Petlin, Stephen B. Furber Scan testing of micropipelines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous VLSI circuit design, AMULET1 microprocessor, scan test technique, data processing blocks, combinational processing logic, state holding elements, test generation techniques, VLSI, logic testing, delays, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault location, integrated circuit design, microprocessor chips, delay faults, boundary scan testing, computer testing, test patterns, single stuck-at faults, micropipelines
31Chao-Wen Tzeng, Shi-Yu Huang UMC-Scan Test Methodology: Exploiting the Maximum Freedom of Multicasting. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF multicasting, broadcasting, DFT, test compression, scan test
31Octavian Petre, Hans G. Kerkhoff Scan Test Strategy for Asynchronous-Synchronous Interfaces. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF globally asynchronous locally synchronous (GALS), asynchronous synchronous interface, synchronizers, scan test
30Douglas Chang, Mike Tien-Chien Lee, Malgorzata Marek-Sadowska, Takashi Aikyo, Kwang-Ting Cheng A Test Synthesis Approach to Reducing BALLAST DFT Overhead. Search on Bibsonomy DAC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
30Tsuyoshi Shinogi, Hiroyuki Yamada, Terumine Hayashi, Shinji Tsuruoka, Tomohiro Yoshikawa A Test Cost Reduction Method by Test Response and Test Vector Overlapping for Full-Scan Test Architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Frank te Beest, Ad M. G. Peeters A Multiplexor Based Test Method for Self-Timed Circuits. Search on Bibsonomy ASYNC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
29Nitin Parimi, Xiaoling Sun Toggle-Masking for Test-per-Scan VLSI Circuits. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
26Scott Davidson 0001 What's the problem? Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF full-scan test, design for testability, delay test, defects, IC
25Alodeep Sanyal, Kunal P. Ganeshpure, Sandip Kundu An Improved Soft-Error Rate Measurement Technique. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
25Sudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz On test data volume reduction for multiple scan chain designs. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Decompressor, Don't care identification, Encoding techniques, Design for testability, Test data compression
25Sudhakar M. Reddy, Kohei Miyase, Seiji Kajihara, Irith Pomeranz On Test Data Volume Reduction for Multiple Scan Chain Designs. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
25Irith Pomeranz, Sudhakar M. Reddy Scan-Based Delay Test Types and Their Effect on Power Dissipation During Test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
25Bernd Könemann, Carl Barnhart, Brion L. Keller, Thomas J. Snethen, Owen Farnsworth, Donald L. Wheater A SmartBIST Variant with Guaranteed Encoding. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
25Hsiang-Huang Wu, Jih-Nung Lee, Ming-Cheng Chiang, Po-Wei Liu, Chi-Feng Wu A comprehensive TCAM test scheme: An optimized test algorithm considering physical layout and combining scan test with at-speed BIST design. Search on Bibsonomy ITC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Sungyoul Seo, Keewon Cho, Young-Woo Lee, Sungho Kang 0001 A Statistic-Based Scan Chain Reordering for Energy-Quality Scalable Scan Test. Search on Bibsonomy IEEE J. Emerg. Sel. Topics Circuits Syst. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
24Mahshid Mojtabavi Naeini, Sreedharan Baskara Dass, Chia Yee Ooi, Tomokazu Yoneda, Michiko Inoue An integrated DFT solution for power reduction in scan test applications by low power gating scan cell. Search on Bibsonomy Integr. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
24Satyadev Ahlawat, Jaynarayan T. Tudu, Anzhela Yu. Matrosova, Virendra Singh A high performance scan flip-flop design for serial and mixed mode scan test. Search on Bibsonomy IOLTS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
24Arvind Jain, Sundarrajan Subramanian, Rubin A. Parekhji, Srivaths Ravi 0001 Design Techniques with Multiple Scan Compression CoDecs for Low Power and High Quality Scan Test. Search on Bibsonomy J. Low Power Electron. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
24Marie Engelene J. Obien, Satoshi Ohtake, Hideo Fujiwara F-Scan Test Generation Model for Delay Fault Testing at RTL Using Standard Full Scan ATPG. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF automatic test pattern generation, scan-based test, high-level testing
24Dong Xiang, Mingjing Chen, Jia-Guang Sun Scan BIST with biased scan test signals. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF random testability, test signal, biased random testing, scan-based BIST
24Ahmad A. Al-Yamani, Narendra Devta-Prasanna, Erik Chmelar, M. Grinchuk, Arun Gunda Scan Test Cost and Power Reduction Through Systematic Scan Reconfiguration. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24V. R. Devanathan, C. P. Ravikumar, Rajat Mehrotra, V. Kamakoti 0001 PMScan : A power-managed scan for simultaneous reduction of dynamic and leakage power during scan test. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Nabil Badereddine, Patrick Girard 0001, Serge Pravossoudovitch, Arnaud Virazel, Christian Landrault Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles. Search on Bibsonomy VLSI-SoC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Kenneth P. Parker Defect Coverage of Boundary-Scan Tests: What does it mean when a Boundary-Scan test passes? Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
24Anthony P. Ambler The application and use of boundary scan: Bleeker, H, van den Eijnden, P and de Jong, FBoundary-scan test - a practical approach Kluwer Academic (1992) ISBN 0 7923 9296 5, £50.75, pp 222. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
23Thomas A. Ziaja, Earl E. Swartzlander Jr. Boundary scan in board manufacturing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Board and system test, boundary scan description language, design-for-test, boundary scan
23Roberto Bevacqua, Luca Guerrazzi, Fabrizio Ferrandi, Franco Fummi Implicit Test Sequences Compaction for Decreasing Test Application Cos. Search on Bibsonomy ICCD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Frans P. M. Beenker, Barry J. Dekker, Richard Stans, Max van der Star Implementing Macro Test in Silicon Compiler Design. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
22Debesh Kumar Das, Satoshi Ohtake, Hideo Fujiwara New DFT Techniques of Non-Scan Sequential Circuits with Complete Fault Efficiency. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Mehrdad Nourani, Mohammad H. Tehranipour RL-huffman encoding for test compression and power reduction in scan applications. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Huffman encoding, scan applications, scan-in test power, test pattern compression, power reduction, switching activities, test compression, Compression ratio, run-length encoding, decompression
20Edward Flanigan, Rajsekhar Adapa, Hailong Cui, Michael Laisne, Spyros Tragoudas, Tsvetomir Petrov Function-based ATPG for Path Delay Faults using the Launch-Off-Capture Scan Architecture. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Ching-Hwa Cheng, Jinn-Shyan Wang, Shih-Chieh Chang, Wen-Ben Jone Low-Speed Scan Testing of Charge-Sharing Faults for CMOS Domino Circuits. Search on Bibsonomy DFT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
20Stephen Pateras Security vs. Test Quality: Fully Embedded Test Approaches Are the Key to Having Both. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Janusz Rajski, Jerzy Tyszer Test Data Compression and Compaction for Embedded Test of Nanometer Technology Designs. Search on Bibsonomy ICCD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Mohsen Nahvi, André Ivanov An Embedded Autonomous Scan-Based Results Analyzer (EARA) for SoC Cores. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Frank Poehl, Matthias Beck, Ralf Arnold, Peter Muhmenthaler, Nagesh Tamarapalli, Mark Kassab, Nilanjan Mukherjee 0001, Janusz Rajski Industrial Experience with Adoption of EDT for Low-Cost Test without Concessions. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Sanghyeon Baeg Delay Fault Coverage Enhancement by Partial Clocking for Low-Power Designs With Heavily Gated Clocks. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Peter Muhmenthaler New on-Chip DFT and ATE Features for Efficient Embedded Memory Test. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jung-Cheun Lien, Melvin A. Breuer Test program synthesis for modules and chips having boundary scan. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF Board and system test, test controllers, test program synthesis, built-in self-test, design-for-test, boundary scan
20Bo Ye A Low Power Test Data Compression Scheme for Scan Test. Search on Bibsonomy CECNet The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
20Stephan Eggersglüß, Stefan Holst, Daniel Tille, Kohei Miyase, Xiaoqing Wen Formal Test Point Insertion for Region-based Low-Capture-Power Compact At-Speed Scan Test. Search on Bibsonomy ATS The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
20Shengjian Chen, Lei Xu A boundary-scan test bus controller design for mixed-signal test. Search on Bibsonomy WCNIS The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Masashi Ishikawa, Hiroyuki Yotsuyanagi, Masaki Hashizume Test Data Reduction for BIST-Aided Scan Test Using Compatible Flip-Flops and Shifting Inverter Code. Search on Bibsonomy Asian Test Symposium The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Tsung-Yeh Li, Shi-Yu Huang, Hsuan-Jung Hsu, Chao-Wen Tzeng, Chih-Tsun Huang, Jing-Jia Liou, Hsi-Pin Ma, Po-Chiun Huang, Jenn-Chyou Bor, Cheng-Wen Wu, Ching-Cheng Tien, Mike Wang AF-Test: Adaptive-Frequency Scan Test Methodology for Small-Delay Defects. Search on Bibsonomy DFT The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
20Ozgur Sinanoglu Low Cost Scan Test by Test Correlation Utilization. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF test correlation, scan architecture design, test data compression, scan-based testing
20Ozgur Sinanoglu, Alex Orailoglu Test Data Manipulation Techniques for Energy-Frugal, Rapid Scan Test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Takahisa Hiraide, Kwame Osei Boateng, Hideaki Konishi, Koichi Itaya, Michiaki Emori, Hitoshi Yamanaka, Takashi Mochiyama BIST-Aided Scan Test - A New Method for Test Cost Reduction. Search on Bibsonomy VTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF ATPG, BIST, fault coverage, ATE, test cost reduction
20Jamie Cullen Scan test sequencing hardware for structural test. Search on Bibsonomy ITC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
20Math Muris, Alex S. Biewenga Using Boundary Scan Test to Test Random Access Memory Clusters. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18Jaehoon Song, Piljae Min, Hyunbean Yi, Sungju Park Design of Test Access Mechanism for AMBA-Based System-on-a-Chip. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Kedarnath J. Balakrishnan, Nur A. Touba, Srinivas Patil Compressing Functional Tests for Microprocessors. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Chen-Huan Chiang, Paul J. Wheatley, Kenneth Y. Ho, Ken L. Cheung Testing and Remote Field Update of Distributed Base Stations in a Wireless Network. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Robert Bailey, A. Metayer, B. Svrcek, Nandu Tendolkar, E. Wolf, Eric Fiene, Mike Alexander, Rick Woltenberg, Rajesh Raina Test Methodology for Motorola's High Performance e500 Core Based on PowerPC Instruction Set Architecture. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Zhaoliang Pan, Melvin A. Breuer Estimating Error Rate in Defective Logic Using Signature Analysis. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Binning integrated circuits, effective yield, signature testing, error rate, error tolerance, yield loss
Displaying result #1 - #100 of 214 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license