The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for tsv with no syntactic query expansion in all metadata.

Publication years (Num. hits)
2000-2009 (36) 2010 (35) 2011 (56) 2012 (46) 2013 (88) 2014 (65) 2015 (76) 2016 (57) 2017 (37) 2018 (28) 2019 (32) 2020 (25) 2021 (28) 2022 (26) 2023 (22) 2024 (5)
Publication types (Num. hits)
article(225) book(1) inproceedings(433) phdthesis(3)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 54 occurrences of 38 keywords

Results
Found 662 publication records. Showing 662 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
182Jae-Seok Yang, Krit Athikulwongse, Young-Joon Lee, Sung Kyu Lim, David Z. Pan TSV stress aware timing analysis with applications to 3D-IC layout optimization. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF mobility variation, timing analysis, stress, TSV, 3DIC
138Sudeep Pasricha Exploring serial vertical interconnects for 3D ICs. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF serial interconnect, VLSI, networks on chip, 3D ICs
106Vassilios Gerousis Physical design implementation for 3D IC: methodology and tools. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF 3D IC stack, micro-bump, physical design tools, silicon interposer, methodology, tsv
99Koichi Sato, J. K. Aggarwal Tracking soccer players using broadcast TV images. Search on Bibsonomy AVSS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
83Zongwu Tang Efficient design practices for thermal management of a TSV based 3D IC system. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF thermal gradient, placement, design rule, TSV
79Tae-Yong Kim 0002, Ulrich Neumann A Thin Shell Volume for Modeling Human Hair. Search on Bibsonomy CA The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
67Dae Hyun Kim 0004, Saibal Mukhopadhyay, Sung Kyu Lim Through-silicon-via aware interconnect prediction and optimization for 3D stacked ICs. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF wirelength distribution, rent's rule, 3d ic, tsv, interconnect prediction, through silicon via
64Khaled Salah 0001 A TSV to TSV, A TSV to Metal interconnects, and A TSV to active device coupling capacitance: Analysis and recommendations. Search on Bibsonomy DTIS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
48Caleb Serafy, Ankur Srivastava 0001 TSV Replacement and Shield Insertion for TSV-TSV Coupling Reduction in 3-D Global Placement. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
48Khaled Salah 0001, Yehea I. Ismail Design of adiabatic TSV, SWCNT TSV, and Air-Gap Coaxial TSV. Search on Bibsonomy ISCAS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
48Weng Hong Teh, Raymond Caramto, Jamal Qureshi, Sitaram Arkalgud, M. O'Brien, T. Gilday, Kou Maekawa, T. Saito, Kouichi Maruyama, Thenappan Chidambaram, Wei Wang 0003, David Marx, David Grant, Russ Dudley A route towards production-worthy 5 µm × 25 µm and 1 µm × 20 µm non-Bosch through-silicon-via (TSV) etch, TSV metrology, and TSV integration. Search on Bibsonomy 3DIC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
47DiaaEldin Khalil, Yehea I. Ismail, Muhammad M. Khellah, Tanay Karnik, Vivek De Analytical Model for the Propagation Delay of Through Silicon Vias. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF 3D integrated circuits, propagation delay model, dimensional analysis, TSV
47Paul D. Franzon, W. Rhett Davis, Michael B. Steer, Steve Lipa, Eun Chu Oh, Thorlindur Thorolfsson, Samson Melamed, Sonali Luniya, Tad Doxsee, Stephen Berkeley, Ben Shani, Kurt Obermiller Design and CAD for 3D integrated circuits. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF thermal modeling, TSV, through silicon via, 3DIC
40Tak-Yung Kim, Taewhan Kim Clock tree synthesis with pre-bond testability for 3D stacked IC designs. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, routing, buffer insertion, 3D ICs, clock tree
40Xiang Zhang, Hongda Li, Yuzhong Qu Finding Important Vocabulary Within Ontology. Search on Bibsonomy ASWC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
36Igor Loi, Subhasish Mitra, Thomas H. Lee, Shinobu Fujita, Luca Benini A low-overhead fault tolerance scheme for TSV-based 3D network on chip links. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Jinhyung Lee, Kyungjun Cho, Chang Kwon Lee, Yeonho Lee 0002, Jae-Hyung Park, Su-Hyun Oh, Yucheon Ju, Chunseok Jeong, Ho Sung Cho, Jaeseung Lee, Tae-Sik Yun, Jin Hee Cho, Sangmuk Oh, Junil Moon, Young-Jun Park, Hong-Seok Choi, In-Keun Kim, Seung Min Yang, Sun-Yeol Kim, Jaemin Jang, Jinwook Kim, Seong-Hee Lee, Younghyun Jeon, Juhyung Park, Tae-Kyun Kim, Dongyoon Ka, Sanghoon Oh, Jinse Kim, Junyeol Jeon, Seonhong Kim, Kyeong Tae Kim, Taeho Kim, Hyeonjin Yang, Dongju Yang, Minseop Lee, Heewoong Song, Dongwook Jang, Junghyun Shin, Hyunsik Kim, Chang-Ki Baek, Hajun Jeong, Jongchan Yoon, Seung-Kyun Lim, Kyo Yun Lee, Young Jun Koo, Myeong-Jae Park, Joohwan Cho, Jonghwan Kim 13.4 A 48GB 16-High 1280GB/s HBM3E DRAM with All-Around Power TSV and a 6-Phase RDQS Scheme for TSV Area Optimization. Search on Bibsonomy ISSCC The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
32Kangkang Xu, Yang Yu 0015, Xiyuan Peng TSV Fault Modeling and A BIST Solution for TSV Pre-bond Test. Search on Bibsonomy VTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
32Khanh N. Dang, Akram Ben Ahmed, Abderazek Ben Abdallah, Xuan-Tu Tran TSV-OCT: A Scalable Online Multiple-TSV Defects Localization for Real-Time 3-D-IC Systems. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
32Tianming Ni, Yao Yao, Hao Chang, Lin Lu, Huaguo Liang, Aibin Yan, Zhengfeng Huang, Xiaoqing Wen LCHR-TSV: Novel Low Cost and Highly Repairable Honeycomb-Based TSV Redundancy Architecture for Clustered Faults. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
32Tanusree Kaibartta, G. P. Biswas, Debesh Kumar Das Co-Optimization of Test Wrapper Length and TSV for TSV Based 3D SOCs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
32Khanh N. Dang, Akram Ben Ahmed, Abderazek Ben Abdallah, Xuan-Tu Tran TSV-IaS: Analytic Analysis and Low-Cost Non-Preemptive on-Line Detection and Correction Method for TSV Defects. Search on Bibsonomy ISVLSI The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Raviteja P. Reddy, Amit Acharyya, S. Saqib Khursheed A Framework for TSV Based 3D-IC to Analyze Aging and TSV Thermo-Mechanical Stress on Soft Errors. Search on Bibsonomy ITC-Asia The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
32Jaeseok Park, Minho Cheong, Sungho Kang R2-TSV: A Repairable and Reliable TSV Set Structure Reutilizing Redundancies. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Saikat Mondal, Sang-Bock Cho, Bruce C. Kim Modeling and Crosstalk Evaluation of 3-D TSV-Based Inductor With Ground TSV Shielding. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Sudeep Ghosh, Surajit Kumar Roy, Hafizur Rahaman 0001, Chandan Giri TSV repairing for 3D ICs using redundant TSV. Search on Bibsonomy ISED The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
32Pooria M. Yaghini, Ashkan Eghbal, Siavash S. Yazdi, Nader Bagherzadeh, Michael M. Green Capacitive and Inductive TSV-to-TSV Resilient Approaches for 3D ICs. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
32Yarui Peng, Dusan Petranovic, Sung Kyu Lim Multi-TSV and E-Field Sharing Aware Full-chip Extraction and Mitigation of TSV-to-Wire Coupling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Pooria M. Yaghini, Ashkan Eghbal, Siavash S. Yazdi, Nader Bagherzadeh Accurate System-level TSV-to-TSV Capacitive Coupling Fault Model for 3D-NoC. Search on Bibsonomy NOCS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Joke De Messemaeker, O. Varela Pedreira, A. Moussa, Nabi Nabiollahi, Kris Vanstreels, Stefaan Van Huylenbroeck, Harold Philipsen, Patrick Verdonck, Bart Vandevelde, Ingrid De Wolf, Eric Beyne, Kris Croes Impact of oxide liner properties on TSV Cu pumping and TSV stress. Search on Bibsonomy IRPS The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Quan Deng, Minxuan Zhang, Zhenyu Zhao, Peng Li Mitigation Techniques Against TSV-to-TSV Coupling in 3DIC. Search on Bibsonomy NCCET The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
32Caleb Serafy, Bing Shi, Ankur Srivastava 0001 A geometric approach to chip-scale TSV shield placement for the reduction of TSV coupling in 3D-ICs. Search on Bibsonomy Integr. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Yarui Peng, Taigon Song, Dusan Petranovic, Sung Kyu Lim Silicon Effect-Aware Full-Chip Extraction and Mitigation of TSV-to-TSV Coupling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Fu-Wei Chen, Hui-Ling Ting, TingTing Hwang Fault-tolerant TSV by using scan-chain test TSV. Search on Bibsonomy ASP-DAC The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Ashkan Eghbal, Pooria M. Yaghini, Siavash S. Yazdi, Nader Bagherzadeh TSV-to-TSV inductive coupling-aware coding scheme for 3D Network-on-Chip. Search on Bibsonomy DFT The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
32Khaled Salah 0001 Performance comparison between air-gap based coaxial TSV and conventional circular TSV in 3D-ICs. Search on Bibsonomy IDT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Zao Liu, Sahana Swarup, Sheldon X.-D. Tan Compact lateral thermal resistance modeling and characterization for TSV and TSV array. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Yarui Peng, Taigon Song, Dusan Petranovic, Sung Kyu Lim On accurate full-chip extraction and optimization of TSV-to-TSV coupling elements in 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Byunghyun Lee, Taewhan Kim High-level TSV resource sharing and optimization for TSV based 3D IC designs. Search on Bibsonomy SoCC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Caleb Serafy, Bing Shi, Ankur Srivastava 0001 Geometric approach to chip-scale TSV shield placement for the reduction of TSV coupling in 3D-ICs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Shu-Han Wei, Yu-Min Lee, Chia-Tung Ho, Chih-Ting Sun, Liang-Chia Cheng Power delivery network design for wiring and TSV resource minimization in TSV-based 3-D ICs. Search on Bibsonomy VLSI-DAT The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Taigon Song, Chang Liu 0034, Yarui Peng, Sung Kyu Lim Full-chip multiple TSV-to-TSV coupling extraction and optimization in 3D ICs. Search on Bibsonomy DAC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
32Patrick Le Maitre, Melanie Brocard, Alexis Farcy, Jean-Claude Marin Device and electromagnetic co-simulation of TSV: Substrate noise study and compact modeling of a TSV in a matrix. Search on Bibsonomy ISQED The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
32Wen-Pin Tu, Yen-Hsin Lee, Shih-Hsu Huang TSV sharing through multiplexing for TSV count minimization in high-level synthesis. Search on Bibsonomy SoCC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
32Taigon Song, Chang Liu 0034, Dae Hyun Kim 0004, Sung Kyu Lim, Jonghyun Cho, Joohee Kim, Junso Pak, Seungyoung Ahn, Joungho Kim, Kihyun Yoon Analysis of TSV-to-TSV coupling with high-impedance termination in 3D ICs. Search on Bibsonomy ISQED The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
32Chang Liu 0034, Taigon Song, Jonghyun Cho, Joohee Kim, Joungho Kim, Sung Kyu Lim Full-chip TSV-to-TSV coupling analysis and optimization in 3D IC. Search on Bibsonomy DAC The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
27Chun-Chuan Chi, Erik Jan Marinissen, Sandeep Kumar Goel, Cheng-Wen Wu DfT Architecture for 3D-SICs with Multiple Towers. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF three-dimensional stacking, 3D-SIC, multi-tower, DfT, wrapper, design-for-test, TSV, through-silicon via
27Thorlindur Thorolfsson, Kiran Gonsalves, Paul D. Franzon Design automation for a 3DIC FFT processor for synthetic aperture radar: a case study. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF FFT, SAR, TSV, 3DIC
20Hsiu-Ming Chang 0001, Jiun-Lang Huang, Ding-Ming Kwai, Kwang-Ting (Tim) Cheng, Cheng-Wen Wu An error tolerance scheme for 3D CMOS imagers. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF image sensor, error tolerance, 3D IC
20Samta Bansal, Juan C. Rey, Andrew Yang, Myung-Soo Jang, L. C. Lu, Philippe Magarshack, Pol Marchal, Riko Radojcic 3-D stacked die: now or future? Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF integrated circuits, 3-D
20Mitsumasa Koyanagi, Takafumi Fukushima, Tetsu Tanaka Three-dimensional integration technology and integrated systems. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Nobuaki Miyakawa A 3D prototyping chip based on a wafer-level stacking technology. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
20Wanping Zhang, Wenjian Yu, Xiang Hu, Amirali Shayan Arani, A. Ege Engin, Chung-Kuan Cheng Predicting the worst-case voltage violation in a 3D power network. Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF worst case violation prediction, integer linear programming, leakage, clock gating, power networks
20Cesare Ferri, Sherief Reda, R. Iris Bahar Parametric yield management for 3D ICs: Models and strategies for improvement. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF performance, process variations, leakage, 3D integration, yield management
20Nobuaki Miyakawa, Eiri Hashimoto, Takanori Maebashi, Natsuo Nakamura, Yutaka Sacho, Shigeto Nakayama, Shinjiro Toyoda Multilayer stacking technology using wafer-to-wafer stacked method. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF stacking process, design, hardware, 3D integration
20Cesare Ferri, Sherief Reda, R. Iris Bahar Strategies for improving the parametric yield and profits of 3D ICs. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Chen Wei, Xiaole Cui, Xiaoxin Cui Dy-MFNS-CAC: An Encoding Mechanism to Suppress the Crosstalk and Repair the Hard Faults in Rectangular TSV Arrays. Search on Bibsonomy IEEE Trans. Reliab. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Jun Liu 0070, Songren Cheng, Tian Chen, Xi Wu 0003, Huaguo Liang A Self-Biased Current Reference Source-Based Pre-Bond TSV Test Solution. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Yanan Tao, Chao Liang, Ziqi Mei, Zhiqiang Song, Yu Wu, Yunna Sun, Wenqiang Zhang, Yong Ruan, Xiaoguang Zhao Numerical simulation of copper electrodeposition for Through Silicon Via (TSV) with SPS-PEG-Cl additive system. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Fengjuan Wang, Jilin Kou, Xiangkun Yin, Jiangfan Liu, Kai Jing, Ningmei Yu, Yuan Yang 0006, Qian Li A compact fifth-order SIW BPF based on TSV technology with high selectivity. Search on Bibsonomy Microelectron. J. The full citation details ... 2024 DBLP  DOI  BibTeX  RDF
16Myeong-Jae Park, Jinhyung Lee, Kyungjun Cho, Ji Hwan Park, Junil Moon, Sung-Hak Lee, Tae-Kyun Kim, Sanghoon Oh, Seokwoo Choi, Yongsuk Choi, Ho Sung Cho, Tae-Sik Yun, Young Jun Koo, Jae-Seung Lee, Byung Kuk Yoon, Young Jun Park, Sangmuk Oh, Chang Kwon Lee, Seong-Hee Lee, Hyun-Woo Kim, Yucheon Ju, Seung-Kyun Lim, Kyo Yun Lee, Sang-Hoon Lee, Woo Sung We, Seungchan Kim, Seung Min Yang, Keonho Lee, In-Keun Kim, Younghyun Jeon, Jae-Hyung Park, Jong Chan Yun, Seonyeol Kim, Dong-Yeol Lee, Su-Hyun Oh, Junghyun Shin, Yeonho Lee 0002, Jieun Jang, Joohwan Cho A 192-Gb 12-High 896-GB/s HBM3 DRAM With a TSV Auto-Calibration Scheme and Machine-Learning-Based Layout Optimization. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Ji-Young Kim, Taeryeong Kim, Jeonghyeok You, Ki-Ryong Kim, Byoung-Mo Moon, Kyomin Sohn, Seong-Ook Jung An Energy-Efficient Design of TSV I/O for HBM With a Data Rate up to 10 Gb/s. Search on Bibsonomy IEEE J. Solid State Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jindong Zhou, Yuyang Chen, Youliang Jing, Pingqiang Zhou The study of TSV-induced and strained silicon-enhanced stress in 3D-ICs. Search on Bibsonomy Integr. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Peng Xu, Huan Huang, Bing-Qi Zhang, Zheng-Hua Tang Thermal Performance Analysis of Carbon Materials Based TSV in Three Dimensional Integrated Circuits. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Huangyin Wang, Yikai Xiong, Liming Geng Modeling and Thermal Stress Coupling Optimization Design of TSV Inductors in On-Chip DC/DC Converters. Search on Bibsonomy IEEE Access The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Wenbo Guan, Xiaoyan Tang, Hongliang Lu, Yuming Zhang, Yimen Zhang ATT-TA: A Cooperative Multiagent Deep Reinforcement Learning Approach for TSV Assignment in 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Youngkwang Lee, Donghyun Han, Sungho Kang 0001 TSV Built-In Self-Repair Architecture for Improving the Yield and Reliability of HBM. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Yang Ge, Tejinder Singh Sandhu, Dmitri V. Truhachev, Kamal El-Sankary A Single-TSV and Single-DCDL Approach for Skew Compensation of Multi-Dies Clock Synchronization in 3-D-ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Wenbo Guan, Xiaoyan Tang, Hongliang Lu, Yuming Zhang, Yimen Zhang A Novel Thermal-Aware Floorplanning and TSV Assignment With Game Theory for Fixed-Outline 3-D ICs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xianglong Wang, Dongdong Chen 0010, Di Li 0003, Chen Kou, Yintang Yang The Development and Progress of Multi-Physics Simulation Design for TSV-Based 3D Integrated System. Search on Bibsonomy Symmetry The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xianglong Wang, Yintang Yang, Dongdong Chen 0010, Di Li 0003 A High-Efficiency Design Method of TSV Array for Thermal Management of 3-D Integrated System. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Xiaole Cui, Chen Wei, Xu Feng, Xiaoxin Cui Mosaic-3C1S: A Low Overhead Crosstalk Suppression Scheme for Rectangular TSV Array. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Tao Gong, Si Chen, Kai Li, Guoyuan Li, Zhizhe Wang, Xiaofeng Yang, Xiaodong Jian, Zhiwei Fu Study on the electrical breakdown failure mode transition of TSV-RDL. Search on Bibsonomy Microelectron. J. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Fengjuan Wang, Zhuoyu Yang, Xiangkun Yin, Ningmei Yu, Yuan Yang TSV-based SIW bandpass filter with adjustable transmission zeros for D-band applications. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Tsague Cathy, Medjo Astrid, Jean Seutche, Tchinda Rene Assessment of Thermal Comfort Using PMV, aPMV, ePMV and TSV Indices in a Naturally Ventilated Building. Search on Bibsonomy SAFER-TEA The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Jiayi Shen, Chang Liu, Tadaaki Hoshi, Atsushi Sinoda, Hisashi Kino, Tetsu Tanaka, Mariappan Murugesan, Mitsumasa Koyanagi, Takafumi Fukushima Impact of Super-long-throw PVD on TSV Metallization and Die-to-Wafer 3D Integration Based on Via-last. Search on Bibsonomy 3DIC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Song Wang, Bing Yu, Wenwu Xiao, Fujun Bai, Xiaodong Long, Liang Bai, Xuerong Jia, Fengguo Zuo, Jie Tan, Yixin Guo, Peng Sun, Jun Zhou, Qiong Zhan, Sheng Hu, Yu Zhou, Yi Kang, Qiwei Ren, Xiping Jiang A 135 GBps/Gbit 0.66 pJ/bit Stacked Embedded DRAM with Multilayer Arrays by Fine Pitch Hybrid Bonding and Mini-TSV. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16C.-L. Lu, C.-H. Chuang, C.-H. Huang, S.-C. Lin, Y.-H. Chang, W.-Y. Lai, M.-H. Chiu, Ming Han Liao, S.-Z. Chang 4-Layer Wafer on Wafer Stacking Demonstration with Face to Face/Face to Back Stacked Flexibility Using Hybrid Bond/TSV-Middle for Various 3D Integration. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Taeryeong Kim, Ji-Young Kim, Jeonghyeok You, Hohyun Chae, Byoung-Mo Moon, Kyomin Sohn, Seong-Ook Jung A Low-Voltage Area-Efficient TSV I/O for HBM with Data Rate up to 15Gb/s Featuring Overlapped Multiplexing Driver, ISI Compensators and QEC. Search on Bibsonomy VLSI Technology and Circuits The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Takeshi Ohkawa, Masahiro Aoyagi FPGA Emulation of Through-Silicon-Via (TSV) Dataflow Network for 3D Standard Chip Stacking System. Search on Bibsonomy COOL CHIPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16He Junsen, Dong-Hyun Yoon, Tony Tae-Hyoung Kim An Effective Faulty TSV Detection Scheme for TSVs in High Bandwidth Memory. Search on Bibsonomy ISCAS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Sunghoon Kim, Donghyun Han, Seokjun Jang, Sungho Kang 0001 LOTS: Low Overhead TSV Repair Method Using IEEE-1838 Standard Architecture. Search on Bibsonomy ISOCC The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
16Junpeng Wang, Christoph Neuhauser, Jun Wu 0005, Xifeng Gao, Rüdiger Westermann 3D-TSV: The 3D trajectory-based stress visualizer. Search on Bibsonomy Adv. Eng. Softw. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yingbo Zhao, Qingyang Fan Frequency-Dependent Characteristics and Parametric Modeling of the Silicon Substrate in TSV-Based 3-D ICs. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Xiangliang Li, Peng Zhao 0003, Shichang Chen, Kuiwen Xu, Gaofeng Wang A Deep-Learning Approach for Wideband Design of 3D TSV-Based Inductors. Search on Bibsonomy IEEE Access The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Fengjuan Wang, Kai Zhang, Xiangkun Yin, Ningmei Yu, Yuan Yang 0006 A Miniaturized Wideband Interdigital Bandpass Filter With High Out-Band Suppression Based on TSV Technology for W-Band Application. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Qi Xu, Hao Geng, Tianming Ni, Song Chen 0001, Bei Yu 0001, Yi Kang, Xiaoqing Wen Fortune: A New Fault-Tolerance TSV Configuration in Router-Based Redundancy Structure. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Sangmin Park, Minho Cheong, Donghyun Han, Sungho Kang 0001 Herringbone-Based TSV Architecture for Clustered Fault Repair and Aging Recovery. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Qi Xu, Wenhao Sun, Song Chen 0001, Yi Kang, Xiaoqing Wen Cellular Structure-Based Fault-Tolerance TSV Configuration in 3D-IC. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Kangkang Xu, Yang Yu 0015, Xu Fang The Detection of Open and Leakage Faults for Prebond TSV Test Based on Weak Current Source. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Dilip Kumar Maity, Surajit Kumar Roy, Chandan Giri A Cost-Effective Built-In Self-Test Mechanism for Post-Manufacturing TSV Defects in 3D ICs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Fengjuan Wang, Ruiqi Li, Xiangkun Yin, Ningmei Yu, Yuan Yang 0006 Compact high-performance dual-frequency power divider based on TSV. Search on Bibsonomy Microelectron. J. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Chenyi Wen, Xiao Dong, Baixin Chen, Umamaheswara Rao Tida, Yiyu Shi 0001, Cheng Zhuo Magnetic Core TSV-Inductor Design and Optimization for On-chip DC-DC Converter. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Shashikiran Venkatesha, Ranjani Parthasarathi A Survey of fault models and fault tolerance methods for 2D bus-based multi-core systems and TSV based 3D NOC many-core systems. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Chen Wei, Xiaole Cui, Xiaoxin Cui A Global Self-Repair Method for TSV Arrays With Adaptive FNS-CAC Codec. Search on Bibsonomy IEEE Des. Test The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Tianming Ni, Jingchang Bian, Zhao Yang, Mu Nie, Liang Yao, Zhengfeng Huang, Aibin Yan, Xiaoqing Wen Broadcast-TDMA: A Cost-Effective Fault-Tolerance Method for TSV Lifetime Reliability Enhancement. Search on Bibsonomy IEEE Des. Test The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Fengjuan Wang, Sa Xiao, Xiangkun Yin, Ningmei Yu, Yuan Yang 0006 A miniature TSV-based branch line coupler using π equivalent circuit model for transmission line. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Fengjuan Wang, Quan Peng, Ningmei Yu, Yuan Yang TSV-based SIW bandpass filter for W-band mobile communication applications. Search on Bibsonomy IEICE Electron. Express The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16Yuchen Wu, Yao Liu, Zhao Zhao, Chunfeng Liu 0001, Wenyu Qu TSV-MAC: Time Slot Variable MAC Protocol Based on Deep Reinforcement Learning for UASNs. Search on Bibsonomy WASA (3) The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
16H. Zheng, Y. S. Sun, J. L. Huang Impact of TSV on TDDB Performance of Neighboring FinFET with HK/IL Gate Stacking. Search on Bibsonomy IRPS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 662 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license