The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
19Dominic A. Antonelli, Danny Z. Chen, Timothy J. Dysart, Xiaobo Sharon Hu, Andrew B. Kahng, Peter M. Kogge, Richard C. Murphy, Michael T. Niemier Quantum-Dot Cellular Automata (QCA) circuit partitioning: problem modeling and solutions. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF quantum-dot cellular automata (QCA), computer aided design, circuit partitioning
19Signe J. Silver, Janusz A. Brzozowski True Concurrency in Models of Asynchronous Circuit Behavior. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF multiple-winner, single-winner, semi-modular, asynchronous, circuit, interleaving, true concurrency, delay-insensitive
19Luís Guerra e Silva, João Marques-Silva 0001, Luís Miguel Silveira, Karem A. Sakallah Satisfiability models and algorithms for circuit delay computation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF circuit delay computation, timing analysis, Boolean satisfiability, delay modeling, false path
19Syed M. Alam, Donald E. Troxel, Carl V. Thompson A Comprehensive Layout Methodology and Layout-Specific Circuit Analyses for Three-Dimensional Integrated Circuits. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF 3D integrated circuit, 3D IC layout, inter-wafer via, reliability CAD tool, FPGA, performance analysis, reliability analysis
19Martin Foltin, Brian Foutz, Sean Tyler Efficient stimulus independent timing abstraction model based on a new concept of circuit block transparency. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing analysis, VLSI design, timing model, circuit optimization
19Massimiliano Goldwurm, Beatrice Palano, Massimo Santini 0001 On the Circuit Complexity of Random Generation Problems for Regular and Context-Free Languages. Search on Bibsonomy STACS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Uniform random generation, ambiguous context-free languages, auxiliary pushdown automata, circuit complexity
19K. Wayne Current Design of a Quaternary Latch Circuit Using a Binary CMOS RS Latch. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF quaternary, memory, circuit, latch
19Lifeng Wu, Jingkun Fang, Heting Yan, Ping Chen, Alvin I-Hsien Chen, Yoshifumi Okamoto, Chune-Sin Yeh, Zhihong Liu, Nobufusa Iwanishi, Norio Koike, Hirokazu Yonezawa, Yoshiyuki Kawakami GLACIER: A Hot Carrier Gate Level Circuit Characterization and Simulation System for VLSI Design. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Hot Carrier Effect, Gate level modeling, Gate level simulation, Circuit reliability simulation, VLSI
19Tertulien Ndjountche, Rolf Unbehauen, Fa-Long Luo A 1.5V VLSI Circuit for the Co-Channel Signal Separation. Search on Bibsonomy IJCNN (4) The full citation details ... 2000 DBLP  DOI  BibTeX  RDF channel deconvolution, signal separation, second-order statistic algorithm, analog VLSI circuit
19Mayukh Bhattacharya, Pinaki Mazumder Convergence Issues in Resonant Tunneling Diode Circuit Simulation. Search on Bibsonomy VLSI Design The full citation details ... 2000 DBLP  DOI  BibTeX  RDF negative differential resistance, convergence, SPICE, circuit simulation, resonant tunneling diode, Newton-Raphson
19Pierre-Yves Calland, Alain Darte, Yves Robert Circuit Retiming Applied to Decomposed Software Pipelining. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF circuit retiming, Software pipelining, list scheduling, modulo scheduling, cyclic scheduling
19Vishal Sharma, Emmanouel A. Varvarigos Circuit Switching with Input Queuing: An Analysis for the d-Dimensional Wraparound Mesh and the Hypercube. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF input queuing, wraparound meshes, connection delay, stability region, interconnection networks, hypercubes, Circuit switching, queuing delay
19Hiroshi Yokoyama, Xiaoqing Wen, Hideo Tamamoto Random Pattern Testable Design with Partial Circuit Duplication. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Partial circuit duplication, Random testing Built-in self test, Design for testability
19Joseph G. Peters, Michel Syska Circuit-Switched Broadcasting in Torus Networks. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit-switched routing, Broadcasting, pipelining, tilings, torus networks
19Yirng-An Chen, Randal E. Bryant ACV: an arithmetic circuit verifier. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Binary Moment Diagram, Formal Verification, Arithmetic circuit, BMD, Hierarchical Verification
19João M. S. Alcântara, Carlo E. T. de Oliveira, Manuel L. Anido A Novel Circuit Extraction Tool Based on X-Spans and Y-Spans. Search on Bibsonomy EUROMICRO The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit extraction tool, X-Spans, Y-Spans, maximally-horizontal layout regions, contiguous vertical regions, VLSI, data structure
19B. D. Cook, Soo-Young Lee Fast exposure simulation for large circuit patterns in electron beam lithography. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electron beam lithography, proximity effect (lithography), fast exposure simulation, large circuit patterns, electron beam lithography, global exposure, local exposure, proximity effect, simulation
19Bruno Codenotti, Peter Gemmell, Janos Simon Average Circuit Depth and Average Communication Complexity. Search on Bibsonomy ESA The full citation details ... 1995 DBLP  DOI  BibTeX  RDF parallel time, lower bounds, communication complexity, circuit complexity
18Po-Yuan Chen, Chiao-Chen Fang, TingTing Hwang, Hsi-Pin Ma Leakage reduction, delay compensation using partition-based tunable body-biasing techniques. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF low-power design, process variations, leakage current, Body biasing
18Gang Jin, Lei Wang 0011, Zhiying Wang The Design of Asynchronous Microprocessor Based on Optimized NCL_X Design-Flow. Search on Bibsonomy NAS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Koustav Bhattacharya, Nagarajan Ranganathan RADJAM: A Novel Approach for Reduction of Soft Errors in Logic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
18Yung-Chih Chen, Chun-Yao Wang An Implicit Approach to Minimizing Range-Equivalent Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Soman Purushothaman A simple 4 quadrant NMOS analog multiplier with input range equal to +/-VDD and very low THD. Search on Bibsonomy EIT The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
18Yu Wang 0002, Hong Luo, Ku He, Rong Luo, Huazhong Yang, Yuan Xie 0001 Temperature-aware NBTI modeling and the impact of input vector control on performance degradation. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Ying Wei 0002, Alex Doboli Library of structural analog cell macromodels for design of continuous-time reconfigurable Delta Sigma modulators. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18K. H. Abed, K. Y. Wong, Marian K. Kazimierczuk CMOS zero cross-conduction low-power driver and power MOSFETs for integrated synchronous buck converter. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Le Jin, Hanqing Xing, Degang Chen 0001, Randall L. Geiger A self-calibrated bandgap voltage reference with 0.5 ppm/°C temperature coefficient. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rajesh Garg, Nikhil Jayakumar, Sunil P. Khatri, Gwan Choi A design approach for radiation-hard digital electronics. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF radiation-hard, SEU
18Ricardo Salem Zebulum, Adrian Stoica, Didier Keymeulen, Lukás Sekanina, Rajeshuni Ramesham, Xin Guo 0002 Evolvable Hardware System at Extreme Low Temperatures. Search on Bibsonomy ICES The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Hiroyuki Yokoyama, Kenji Toda FPGA-Based Content Protection System for Embedded Consumer Electronics. Search on Bibsonomy RTCSA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Joel Coburn, Srivaths Ravi 0001, Anand Raghunathan Power emulation: a new paradigm for power estimation. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF simulation, FPGA, design, design methodologies, emulation, hardware acceleration, power estimation, register-transfer level, macromodels
18Richard Ruzicka, Pavel Tupec Formal Approach to Synthesis of a Test Controller. Search on Bibsonomy ECBS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Hideki Fukuda Signed Digit CMOS (SD-CMOS) Logic Circuits with Static Operation. Search on Bibsonomy ISMVL The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
18Kazuyuki Amano, Akira Maruoka Some Properties of MODm Circuits Computing Simple Functions. Search on Bibsonomy CIAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF modular circuits, composite modulus, lower bounds, Fourier analysis, symmetric functions
18Khanittha Kaewdang, Chalermpan Fongsamut, Wanlop Surakampontorn A wide-band current-mode OTA-based analog multiplier-divider. Search on Bibsonomy ISCAS (1) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Stephen A. Edwards Making cyclic circuits acyclic. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF acyclic circuits, cyclic circuits, constructiveness, resynthesis
18Svetlana N. Yanushkevich, Piotr Dziurzanski, Vlad P. Shmerko The Word-Level Models for Efficient Computation of Multiple-Valued Functions. PART 1: LAR Based Model. Search on Bibsonomy ISMVL The full citation details ... 2002 DBLP  DOI  BibTeX  RDF multiple-valued logic functions, linear word-level expressions, word-level decision diagrams
18Afshin Abdollahi, Massoud Pedram, Farzan Fallah Runtime mechanisms for leakage current reduction in CMOS VLSI circuits1, 2. Search on Bibsonomy ISLPED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Rajesh Ramadoss, Michael L. Bushnell Test Generation for Mixed-Signal Devices Using Signal Flow Graphs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF analog test generation, mixed-signal test generation, back tracing, parametric faults, catastrophic faults
18Subhashis Majumder, Bhargab B. Bhattacharya, Vishwani D. Agrawal, Michael L. Bushnell A Complete Characterization of Path Delay Faults through Stuck-at Faults. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
18Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18Ping-Chung Li, Ibrahim N. Hajj Computer-aided redesign of VLSI circuits for hot-carrier reliability. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Alper Demir 0001, Edward W. Y. Liu, Alberto L. Sangiovanni-Vincentelli Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18Andrew R. Conn, Paula K. Coulman, Ruud A. Haring, Gregory L. Morrill, Chandramouli Visweswariah Optimization of custom MOS circuits by transistor sizing. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF simulation, optimization, Circuits, gradients, transistor sizing
18Irith Pomeranz, Sudhakar M. Reddy On Finding Functionally Identical and Functionally Opposite Lines in Combinational Logic Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
18S. C. Leung, Hon Fung Li On the realizability and synthesis of delay-insensitive behaviors. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Walter M. Lindermeir, Helmut E. Graeb, Kurt Antreich Design based analog testing by Characteristic Observation Inference. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
18Alper Demir 0001, Edward W. Y. Liu, Alberto L. Sangiovanni-Vincentelli Time-domain non-Monte Carlo noise simulation for nonlinear dynamic circuits with arbitrary excitations. Search on Bibsonomy ICCAD The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
18Abhijit Chatterjee Concurrent error detection and fault-tolerance in linear analog circuits using continuous checksums. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
18A. Salz, Mark Horowitz IRSIM: An Incremental MOS Switch-Level Simulator. Search on Bibsonomy DAC The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
18Jintae Kim, Ritesh Jhaveri, Jason Woo, Chih-Kong Ken Yang Device-circuit co-optimization for mixed-mode circuit design via geometric programming. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Jian Ruan, Zhiying Wang 0003, Kui Dai, Yong Li 0006 Design and Test of Self-checking Asynchronous Control Circuit. Search on Bibsonomy PATMOS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
18Bart R. Zeydel, Vojin G. Oklobdzija Methodology for Energy-Efficient Digital Circuit Sizing: Important Issues and Design Limitations. Search on Bibsonomy PATMOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
18Rosario Mita, Gaetano Palumbo Modeling of Propagation Delay of a First Order Circuit with a Ramp Input. Search on Bibsonomy PATMOS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
18Timót Hidvégi, Péter Szolgay Short Circuit Detection on Printed Circuit Boards during the Manufacturing Process by Using an Analogic CNN Algorithm. Search on Bibsonomy IEA/AIE The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Guohui Wang, David G. Andersen, Michael Kaminsky, Konstantina Papagiannaki, T. S. Eugene Ng, Michael Kozuch, Michael P. Ryan c-Through: part-time optics in data centers. Search on Bibsonomy SIGCOMM The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optical circuit switching, hybrid network, data center networking
18Meng-Hui Wang, Yu-Kuo Chung, Wen-Tsai Sung The Fault Diagnosis of Analog Circuits Based on Extension Theory. Search on Bibsonomy ICIC (1) The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Extension theory (ET), Fault diagnosis, Analog circuit
18Suraj Sindia, Virendra Singh, Vishwani D. Agrawal Polynomial coefficient based DC testing of non-linear analog circuits. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF DC test, non-linear circuit test, polynomial, curve fitting, parametric faults
18Jens Groth Linear Algebra with Sub-linear Zero-Knowledge Arguments. Search on Bibsonomy CRYPTO The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Sub-linear size zero-knowledge arguments, public-coin special honest verifier zero-knowledge, Pedersen commitments, linear algebra, circuit satisfiability
18Nobuaki Okada, Michitaka Kameyama Fine-Grain Multiple-Valued Reconfigurable VLSI Using Universal-Literal-Based Cells. Search on Bibsonomy ISMVL The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Field-programmable VLSI, Multiple-valued source-coupled logic, Differential-Pair circuit, Bit-serial architecture
18Cüneyt F. Bazlamaçci, Fatih Say Minimum concave cost multicommodity network design. Search on Bibsonomy Telecommun. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Concave cost network design, Circuit switching and packet switching network design, Multicommodity flow problem
18Houjun Liang, Wenjian Luo, Xufa Wang Designing Polymorphic Circuits with Evolutionary Algorithm Based on Weighted Sum Method. Search on Bibsonomy ICES The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Polymorphic Circuit, Weighted Sum, Evolutionary Algorithm
18Yan Liu 0007, Liujun Chen, Jiawei Chen 0005, Fangfeng Zhang, Fukang Fang Discussion on the Spike Train Recognition Mechanisms in Neural Circuits. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF inter-spike intervals, response delay time, neural circuit, spike train
18Maria José Pereira Dantas, Leonardo da C. Brito, Paulo Henrique Portela de Carvalho Multi-objective Memetic Algorithm Applied to the Automated Synthesis of Analog Circuits. Search on Bibsonomy IBERAMIA-SBIA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF multi-objective memetic algorithm, 2D representation, analog circuit, building-blocks, automated synthesis
18Sani R. Nassif The impact of variability on power. Search on Bibsonomy ISLPED The full citation details ... 2004 DBLP  DOI  BibTeX  RDF power, variability, integrated circuit
18Shantanu Dutt, Wenyong Deng Cluster-aware iterative improvement techniques for partitioning large VLSI circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF VLSI circuit partitioning, mincut, physical design/layout, Clusters, iterative-improvement
18Vikram Saxena, Farid N. Najm, Ibrahim N. Hajj Estimation of state line statistics in sequential circuits. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF signal statistics, transition density, finite-state machine, sequential circuit, Power estimation, switching activity, signal probability
18Charles E. Molnar, Ian W. Jones Simple Circuits that Work for Complicated Reasons. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF MUTEX, Delay measurement technique, Latch control circuit, Charlie Box, Asynchronous, FIFO, Arbiter, Micropipeline
18Shiyi Xu, Wei Cen Forecasting the efficiency of test generation algorithms for digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficiency forecasting, testability parameters, genetic algorithms, genetic algorithms, VLSI, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic test pattern generation, ATPG, combinational circuits, combinational circuits, digital circuits, VLSI circuits, digital integrated circuits, test generation algorithms
18Ecevit Yilmaz, Michael M. Green Applying Globally Convergent Techniques to Conventional DC Operating Point Analyses. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Circuit simulation, Nonlinear circuits
18Joseph C. W. Pang, Mike W. T. Wong, Yim-Shu Lee Design and Implementation of Strongly Code-Disjoint CMOS Built-in Intermediate Voltage Sensor for Totally Self-Checking Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Built-in intermediate voltage sensor, bridging fault, totally self-checking circuit
18Lieven Vandenberghe, Stephen P. Boyd, Abbas El Gamal Optimal wire and transistor sizing for circuits with non-tree topology. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF optimal circuit sizing, crosstalk, Elmore delay, clock distribution networks
18Soon-Jyh Chang, Chung-Len Lee 0001, Jwu E. Chen Functional test pattern generation for CMOS operational amplifier. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF functional test pattern generation, CMOS operational amplifier, programmable gain/loss mixed signal circuit, op amp testing, IC testing, CMOS analogue integrated circuits
18J. van Spaandonk, Tom A. M. Kevenaar Selecting measurements to test the functional behavior of analog circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF automatic testing, analogue circuits, circuit testing
18Shujian Zhang, Jon C. Muzio Evaluating the safety of self-checking circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF fail-safe evaluation, TSC, Markov model, self-checking circuit
18Fa-Long Luo, Rolf Unbehauen, Hongqin Xue Continuous-time computation of the eigenvectors of a class of positive definite matrices. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF analogue computers, continuous-time computation, analog circuit approach, specialized analog computers, asynchronous parallel processing, continuous-time dynamics, high-speed computational capability, real-time applications fields, parallel processing, neural nets, matrix algebra, eigenvectors, special purpose computers, eigenvalues and eigenfunctions, neural chips, positive definite matrices
18M. H. Konijnenburg, J. Th. van der Linden, Ad J. van de Goor Compact test sets for industrial circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF compact test sets, industrial circuits, binary logic elements, three-state elements, compaction oriented decision making, heuristics, logic testing, integrated circuit testing, automatic test pattern generation, combinational circuits, automatic testing, multivalued logic circuits, test patterns, bidirectionals, xor gates, or gates, test set size
18C. P. Ravikumar, Hemant Joshi HISCOAP: a hierarchical testability analysis tool. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model
18George Karakostas General Pseudo-random Generators from Weaker Models of Computation. Search on Bibsonomy ISAAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF monotone circuit complexity, circuit complexity, Pseudo-random generators
18Yu Yu, Jussipekka Leiwo, A. Benjamin Premkumar Securely Utilizing External Computing Power. Search on Bibsonomy ITCC (1) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF program encryption, circuit encryption, Boolean circuit, secure function evaluation, biometric identification
18Michiko Inoue, Emil Gizdarski, Hideo Fujiwara Sequential Circuits with Combinational Test Generation Complexity under Single-Fault Assumption. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF internally balanced structure, test generation, sequential circuit, combinational circuit, balanced structure
18Elena Dubrova, Jon C. Muzio Easily Testable Multiple-Valued Logic Circuits Derived from Reed-Muller Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Reed-Muller circuit, easily testable circuit, stuck-at fault, Multiple-valued function
18André Ivanov, Vikram Devdas Catastrophic Short and Open Fault Detection in Bipolar CML Circuits: A Case Study. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF current mode logic (CML), CML circuit testing, bipolar circuit testing, catastrophic fault detection, defect-based testing
18Chih-Wen Lu, Chauchin Su, Chung-Len Lee 0001, Jwu E. Chen Is IDDQ testing not applicable for deep submicron VLSI in year 2011? Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF deep submicron VLSI, IDDQ current estimation, random process deviations, IDDQ distributions, VLSI, statistical analysis, integrated circuit testing, CMOS integrated circuits, leakage currents, IDDQ testing, statistical approach, standard deviation, input vectors, circuit size
18Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for SRAM cluster interconnect testing at board level. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SRAM cluster interconnect testing, BIST TPG, static random access memory, board-level interconnects, test pattern generation architecture, IEEE 1149.1 boundary scan architecture, prohibited conditions, testable SRAM cluster interconnect fault detection, logic testing, built-in self test, automatic test pattern generation, test pattern generation, boundary scan testing, integrated circuit interconnections, SRAM chips, printed circuit testing
18Gloria Huertas, Diego Vázquez, Eduardo J. Peralías, Adoración Rueda, José L. Huertas Testing mixed-signal cores: practical oscillation-based test in an analog macrocell. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF analog macrocell, mixed signal integrated circuit, OBT, mixed-signal macrocell, integrated circuit testing, mixed analogue-digital integrated circuits, oscillation-based test
18Hsin-Po Wang 0002, Jon Turino DFT and BIST techniques for the future. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF multimillion gate system-on-chip, multinational design, logic testing, built-in self test, design for testability, quality, BIST, economics, DFT, integrated circuit design, time to market, production testing, IC design, integrated circuit economics
18Yea-Ling Horng, Jing-Reng Huang, Tsin-Yuan Chang A realistic fault model for flash memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF NAND circuits, faulty behavior classification, NAND-type flash memory, SPICE models, flash cell models, circuit-level faulty behavior simulation, testing, fault model, fault modeling, fault simulation, flash memories, flash memories, circuit analysis computing, SPICE, integrated memory circuits
18Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian TOF: a tool for test pattern generation optimization of an FPGA application oriented test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TOF tool, test pattern generation optimization, FPGA application oriented test, application-oriented test procedure, RAM-based FPGAs, AC nonredundant fault coverage, circuit netlist, TPG optimisation tool, field programmable gate arrays, logic testing, optimisation, integrated circuit testing, automatic test pattern generation, ATPG
18Rong Lin, Kevin E. Kerr, André S. Botha A Novel Approach for CMOS Parallel Counter Design. Search on Bibsonomy EUROMICRO The full citation details ... 1999 DBLP  DOI  BibTeX  RDF parallel counter and compressor, low power high speed CMOS circuit design, VLSI design, Arithmetic circuit, partial product reduction
18Joe Kilian, Erez Petrank An Efficient Noninteractive Zero-Knowledge Proof System for NP with General Assumptions. Search on Bibsonomy J. Cryptol. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Efficient proofs, Noninteractive zero knowledge, Efficient proofs, Noninteractive zero knowledge, Zero knowledge, Zero knowledge, Key words, One-way permutations, One-way permutations, Circuit satisfiability, Circuit satisfiability
18Michel Renovell, Florence Azaïs, Yves Bertrand Optimized Implementations of the Multi-Configuration DFT Technique for Analog Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF VLSI, Test, Analog Circuit, Mixed Signal Circuit
18Yoshio Kameda, Stanislav Polonsky, Masaaki Maezawa, Takashi Nanya Primitive-Level Pipelining Method on Delay-Insensitive Model for RSFQ Pulse-Driven Logic. Search on Bibsonomy ASYNC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF pulse-driven logic, Josephson junction device, RSFQ device, pipeline, asynchronous circuit, delay-insensitive circuit
18Altan Odabasioglu, Mustafa Celik, Lawrence T. Pileggi PRIMA: passive reduced-order interconnect macromodeling algorithm. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF MPVL, PRIMA, RLC interconnect circuits, block Arnoldi technique, driver-load models, guaranteed passivity, macromodel passivity, macromodel stability, passive reduced-order interconnect macromodeling algorithm, path tracing algorithm, reduced order N-port models, simulation, CAD, integrated circuit layout, frequency domain, circuit stability
18Sharad Kapur, David E. Long IES3: a fast integral equation solver for efficient 3-dimensional extraction. Search on Bibsonomy ICCAD The full citation details ... 1997 DBLP  DOI  BibTeX  RDF 3-dimensional extraction, IES/sup 3/, arbitrary kernels, integral equation solver, integrated circuit structures, circuit CAD
18Guowu Zheng, Qi-Jun Zhang, Michel S. Nakhla, Ramachandra Achar An efficient approach for moment-matching simulation of linear subnetworks with measured or tabulated data. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF complex frequency hopping algorithm, generalized stencil, linear subnetworks, measured data, moment-generation algorithm, moment-matching simulation, tabulated data, circuit analysis computing, circuit simulators, time-domain analysis
18Peter Wohl, John A. Waicukauski, Matthew Graf Testing "untestable" faults in three-state circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF three-state circuits, complex CMOS designs, nonconventional circuits, test generation techniques, circuit particularities, fault diagnosis, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, test coverage, multivalued logic circuits, computer testing, CPU time, test vector generation, untestable faults, automatic learning
18Masaru Sanada A CAD-based approach to failure diagnosis of CMOS LSI's using abnormal Iddq. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF CAD-based failure diagnosis technology, CMOS LSI, abnormal Iddq phenomenon, physical damage detection, faulty blocks, failure point localization, Iddq test patterns, fault diagnosis, logic testing, integrated circuit testing, automatic testing, CMOS logic circuits, circuit CAD, large scale integration
18Francesco Gregoretti, Claudio Passerone Using a massively parallel architecture for integrated circuits testing. Search on Bibsonomy PDP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI integrated circuit, low level image processing, image processing, parallel architectures, prototype, integrated circuit testing, integrated circuits testing, Integrated Circuits, massively parallel architecture, Scanning Electron Microscopy
18Teruhiko Yamada, Koji Yamazaki, Edward J. McCluskey A simple technique for locating gate-level faults in combinational circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electron probe analysis, optical microscopy, gate-level faults, error sources, fault deduction, fault elimination, ISCAS'85 benchmark circuits, physical defect analysis, electron beam probing, light emission microscopy, computational complexity, VLSI, VLSI, fault diagnosis, logic testing, integrated circuit testing, combinational circuits, combinational circuits, digital simulation, circuit analysis computing, computation time, scanning electron microscopy, scanning electron microscopy, diagnostic resolution
Displaying result #501 - #600 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][13][14][15][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license