The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for ATPG with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1990 (28) 1991-1993 (24) 1994-1995 (46) 1996 (38) 1997 (37) 1998 (36) 1999 (52) 2000 (47) 2001 (42) 2002 (58) 2003 (61) 2004 (54) 2005 (65) 2006 (67) 2007 (50) 2008 (45) 2009 (30) 2010 (16) 2011-2012 (20) 2013 (16) 2014-2015 (25) 2016-2017 (27) 2018-2019 (21) 2020-2022 (23) 2023 (11)
Publication types (Num. hits)
article(216) incollection(4) inproceedings(717) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 1073 occurrences of 407 keywords

Results
Found 939 publication records. Showing 939 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
17Sanjay Srinivasan, Gnanasekaran Swaminathan, James H. Aylor, M. Ray Mercer Combinational circuit ATPG using binary decision diagrams. Search on Bibsonomy VTS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Haluk Konuk, Tracy Larrabee Explorations of sequential ATPG using Boolean satisfiability. Search on Bibsonomy VTS The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Maria José Aguado, Eduardo de la Torre, Miguel Miranda, Carlos A. López-Barrio Distributed Implementation of an ATPG System Using Dynamic Fault Allocation. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Eun Sei Park, M. Ray Mercer Switch-Level ATPG Using Constraint-Guided Line Justification. Search on Bibsonomy ITC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Yves Bertrand, Frédéric Bancel, Michel Renovell A DFT Technique to Improve ATPG Efficiency for Sequential Circuits. Search on Bibsonomy VLSI Design The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Gopi Ganapathy, Jacob A. Abraham Selective Pseudo Scan: Combinational ATPG with Reduced Scan in a Full Custom RISC Microprocessor. Search on Bibsonomy DAC The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
17Enrico Macii, Angelo Raffaele Meo Techniques to increase sequential ATPG performance. Search on Bibsonomy VTS The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Weiwei Mao, Michael D. Ciletti Robustness Enhancement and Detection Threshold Reduction in ATPG for Gate Delay Faults. Search on Bibsonomy ITC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Wei-Cheng Her, Lin-Ming Jin, Yacoub M. El-Ziq An ATPG Driver Selection Algorithm for Interconnect Test with Boundary Scan. Search on Bibsonomy ITC The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
17Kwang-Ting Cheng, Hi-Keung Tony Ma On the Over-Specification Problem in Sequential ATPG Algorithms. Search on Bibsonomy DAC The full citation details ... 1992 DBLP  BibTeX  RDF
17Kwang-Ting Cheng An ATPG-Based Approach to Sequential Logic Optimization. Search on Bibsonomy ICCAD The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Fabio Somenzi Fast Sequential ATPG Based on Implicit State Enumeration. Search on Bibsonomy ITC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Susheel J. Chandra, Tom Ferry, Tushar Gheewala, Kerry Pierce ATPG Based on a Novel Grid-Addressable Latch Element. Search on Bibsonomy DAC The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
17Paolo Camurati, Marco Gilli, Paolo Prinetto, Matteo Sonza Reorda Model Checking and Graph Theory in Sequential ATPG. Search on Bibsonomy CAV (DIMACS/AMS volume) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Seh-Woong Jeong, Bernard Plessier, Eric M. Schwarz, Fabio Somenzi Results on the Interface between Formal Verification and ATPG. Search on Bibsonomy CAV (DIMACS/AMS volume) The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Hyunwoo Cho, Gary D. Hachtel, Seh-Woong Jeong, Bernard Plessier, Eric M. Schwarz, Fabio Somenzi ATPG Aspects of FSM Verification. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Udo Mahlstedt, Torsten Grüning, Cengiz Özcan, Wilfried Daehn Contest: A Fast ATPG Tool for Very Large Combinatorial Circuits. Search on Bibsonomy ICCAD The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Don Sterba, Andy Halliday, Don McClean ATPG issues for board designs implementing boundary scan. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17C. Thomas Glover Mixed-mode ATPG under input constraints. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17John A. Waicukauski, Paul A. Shupe, David Giramma, Arshad Matin ATPG for ultra-large structured designs. Search on Bibsonomy ITC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
17Reily M. Jacoby, P. Moceyunas, Hyunwoo Cho, Gary D. Hachtel New ATPG techniques for logic optimization. Search on Bibsonomy ICCAD The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
17Rabindra K. Roy, Thomas M. Niermann, Janak H. Patel, Jacob A. Abraham, Resve A. Saleh Compaction of ATPG-generated test sequences for sequential circuits. Search on Bibsonomy ICCAD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Antonio Lioy Adaptative backtrace and dynamic partitioning enhance ATPG. Search on Bibsonomy ICCD The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
17Tom E. Kirkland, M. Ray Mercer A Topological Search Algorithm for ATPG. Search on Bibsonomy DAC The full citation details ... 1987 DBLP  DOI  BibTeX  RDF
17André Ivanov, Vinod K. Agarwal Testability Measures : What Do They Do for ATPG ? Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
17Tom E. Kirkland, M. Ray Mercer A Two-Level Guidance Heuristic for ATPG. Search on Bibsonomy FJCC The full citation details ... 1986 DBLP  BibTeX  RDF
17Franc Brglez, Philip Pownall, Robert Hum Applications of Testability Analysis: From ATPG to Critical Delay Path Tracing. Search on Bibsonomy ITC The full citation details ... 1984 DBLP  BibTeX  RDF
17Alexander Miczo The Sequential ATPG: A Theoretical Limit. Search on Bibsonomy ITC The full citation details ... 1983 DBLP  BibTeX  RDF
17Mats Johansson The GENESYS-Algorithm for ATPG without Fault Simulation. Search on Bibsonomy ITC The full citation details ... 1983 DBLP  BibTeX  RDF
17Glenn A. Kramer Employing Massive Parallelism in Digital ATPG Algorithms. Search on Bibsonomy ITC The full citation details ... 1983 DBLP  BibTeX  RDF
17Harold Levin ATPG and Simulation Systems : The State of the Art. Search on Bibsonomy ITC The full citation details ... 1982 DBLP  BibTeX  RDF
17Samiha Mourad An optimized ATPG. Search on Bibsonomy DAC The full citation details ... 1980 DBLP  DOI  BibTeX  RDF
14Hratch Mangassarian, Andreas G. Veneris, Marco Benedetti Robust QBF Encodings for Sequential Circuits with Applications to Verification, Debug, and Test. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF k-induction, sequential ATPG, SAT, QBF, design debugging, BMC
14Stephan Eggersglüß, Rolf Drechsler Increasing Robustness of SAT-based Delay Test Generation Using Efficient Dynamic Learning Techniques. Search on Bibsonomy ETS The full citation details ... 2009 DBLP  DOI  BibTeX  RDF ATPG, SAT, Delay Test, Boolean Satisfiability, Dynamic Learning
14Lei Fang 0002, Michael S. Hsiao Bilateral Testing of Nano-scale Fault-Tolerant Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bilateral fault model, Fault-tolerant, ATPG, Nanoelectronics
14Sverre Wichlund, Frank Berntsen, Einar J. Aas Scan Test Response Compaction Combined with Diagnosis Capabilities. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Scan compression, Diagnosis, ATPG, Yield, Design for test, ATE
14Vishal J. Mehta, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Timing-Aware Multiple-Delay-Fault Diagnosis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF defect-diagnosis, diagnosis, ATPG, DFT, delay-testing
14Rajesh Tiwari, Abhijeet Shrivastava, Mahit Warhadpande, Srivaths Ravi 0001, Rubin A. Parekhji A Regression Based Technique for ATE-Aware Test Data Volume Estimation of System-on-Chips. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Tester, ATPG, Estimation, ATE, Test Time, Test Data Volume
14Rajamani Sethuram, Michael L. Bushnell, Vishwani D. Agrawal Fault Nodes in Implication Graph for Equivalence/Dominance Collapsing, and Identifying Untestable and Independent Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Diagnosis, ATPG, Fault Model, Fault Collapsing, Implication Graph
14Hans G. Kerkhoff, Jarkko J. M. Huijts Testing of a Highly Reconfigurable Processor Core for Dependable Data Streaming Applications. Search on Bibsonomy DELTA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF reconfigurable multi-processor-cores SoC, embedded system test, dependable SoCs, ATPG, Design-for-Test, self-repair
14Donghwi Lee, Erik H. Volkerink, Intaik Park, Jeff Rearick Empirical Validation of Yield Recovery Using Idle-Cycle Insertion. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF false failure, ATPG, delay testing, functional test, structural test, IR drop, yield loss
14V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Variation-Tolerant, Power-Safe Pattern Generation. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF low-power ATPG, process variation, IR drop, peak power, power profiling
14Alodeep Sanyal, Kunal P. Ganeshpure, Sandip Kundu Accelerating Soft Error Rate Testing Through Pattern Selection. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF soft error rate (SER), simulation, automatic test pattern generation (ATPG), Soft error
14V. R. Devanathan, C. P. Ravikumar, V. Kamakoti 0001 Glitch-Aware Pattern Generation and Optimization Framework for Power-Safe Scan Test. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Low Power ATPG, Glitch Power, IR Drop, Peak Power, Power-profiling
14Qingwei Wu, Michael S. Hsiao A New Simulation-Based Property Checking Algorithm Based on Partitioned Alternative Search Space Traversal. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF verification, Automatic test pattern generation (ATPG), satisfiability, logic-simulation
14Zhong-Zhen Wu, Shih-Chieh Chang Multiple wire reconnections based on implication flow graph. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF global flow optimization (GFO), implication flow graph (IFG), mandatory assignment, multiple wire reconnection, redundant wire, Automatic test pattern generation (ATPG)
14Amitava Majumdar 0002, Wei-Yu Chen, Jun Guo Hold time validation on silicon and the relevance of hazards in timing analysis. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF hold time validation, ATPG, timing analysis, delay test
14James Chien-Mo Li Diagnosis of Multiple Hold-Time and Setup-Time Faults in Scan Chains. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault diagnosis, ATPG, scan chain
14Mukul R. Prasad, Armin Biere, Aarti Gupta A survey of recent advances in SAT-based formal verification. Search on Bibsonomy Int. J. Softw. Tools Technol. Transf. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Model checking, Verification, ATPG, SAT, QBF
14Amardeep Singh, Lalit M. Bharadwaj, Singh Harpreet DNA and quantum based algorithms for VLSI circuits testing. Search on Bibsonomy Nat. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DNA algorithm, genetic algorithms, neural networks, ATPG, quantum computation, quantum algorithm
14Ilia Polian, Thomas Fiehn, Bernd Becker 0001, John P. Hayes A Family of Logical Fault Models for Reversible Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF ATPG, fault models, quantum circuits, reversible circuits
14Shahin Nazarian, Massoud Pedram, Emre Tuncer An empirical study of crosstalk in VDSM technologies. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF automatic test pattern generation (ATPG) tool, crosstalk induced slowdown and speedup, transition time, static timing analysis (STA), skew
14Stelios Neophytou, Maria K. Michael, Spyros Tragoudas Test set enhancement for quality transition faults using function-based methods. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF high quality test, ATPG, delay test, critical paths, transition fault, test compaction
14Ronald D. Blanton, John P. Hayes On the properties of the input pattern fault model. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF fault testing, testing digital circuits, ATPG, fault models, faults, defects
14Vladimir Hahanov, Raimund Ubar, Stanley Hyduke Back-Traced Deductive-Parallel Fault Simulation for Digital Systems. Search on Bibsonomy DSD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF back traced simulation, re-convergent fan-outs, fault analysis model, ATPG, parallel simulation, deductive
14Angela Krstic, Li-C. Wang, Kwang-Ting Cheng, Jing-Jia Liou, T. M. Mak Enhancing diagnosis resolution for delay defects based upon statistical timing and statistical fault models. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF delay ATPG, delay fault diagnosis, statistical timing models
14Xijiang Lin, Rob Thompson Test generation for designs with multiple clocks. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF clock domain, ATPG, scan design
14Fabrizio Ferrandi, Franco Fummi, Donatella Sciuto Test Generation and Testability Alternatives Exploration of Critical Algorithms for Embedded Applications. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Testing of embedded systems, VHDL, ATPG, fault modeling, testability analysis
14Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal State and Fault Information for Compaction-Based Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF sequential circuits, ATPG, test compaction
14Liang-Chi Chen, Sandeep K. Gupta 0001, Melvin A. Breuer TA-PSV - Timing Analysis for Partially Specified Vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF timing analysis for partially specified vectors (TA-PSV), crosstalk test generation (ATPG), static timing analysis (STA), delay model
14Amy Streich, Alex Kondratyev, Lief Sorensen Testing of Asynchronous Designs by "Inappropriate" Means: Synchronous Approach. Search on Bibsonomy ASYNC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ATPG, asynchronous circuits, stuck-at faults, partial scan
14Kohei Miyase, Seiji Kajihara, Sudhakar M. Reddy A Method of Static Test Compaction Based on Don't Care Identification. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Coloring Problem, Don't Care Identification, ATPG, Static Test Compaction
14Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian A Discussion on Test Pattern Generation for FPGA - Implemented Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG
14Paulo F. Flores, Horácio C. Neto, João P. Marques Silva An exact solution to the minimum size test pattern problem. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF propositional satisfiability (SAT), verification and test, built-in self-test (BIST), Automatic test pattern generation (ATPG), integer linear programming (ILP)
14Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Testing the Local Interconnect Resources of SRAM-Based FPGA's. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, VLSI, test, ATPG
14Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian An Approach to Minimize the Test Configuration for the Logic Cells of the Xilinx XC4000 FPGAs Family. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG, iterative testing
14Michael S. Hsiao, Srimat T. Chakradhar Test Set Compaction Using Relaxed Subsequence Removal. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF static test set compaction, support sets, recurrence subsequence, ATPG
14Michael S. Hsiao, Srimat T. Chakradhar Test Set and Fault Partitioning Techniques for Static Test Sequence Compaction for Sequential Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF static test set compaction, vector-reordering, fault coverage curve, partitioning, ATPG
14Michael S. Hsiao, Elizabeth M. Rudnick, Janak H. Patel Dynamic state traversal for sequential circuit test generation. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF finite-state-machine traversal, simulation-based, genetic algorithms, testing, sequential circuits, automatic test pattern generation (ATPG)
14Carsten Wegener, Michael Peter Kennedy Incorporation of Hard-Fault-Coverage in Model-Based Testing of Mixed-Signal ICs. Search on Bibsonomy DATE The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Defect and Tolerance-Oriented Test, ATPG and Fault Modeling, Analog and Mixed-Signal Test
14Philip P. Shirvani, Subhasish Mitra, Jo C. Ebergen, Marly Roncken DUDES: A Fault Abstraction and Collapsing Framework for Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DUDES, testing, ATPG, fault model, asynchronous circuit, stuck-at fault, fault collapsing
14Yiorgos Makris, Jamison Collins, Alex Orailoglu Fast hierarchical test path construction for DFT-free controller-datapath circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fast hierarchical test path construction, DFT-free controller-datapath circuits, transparency based scheme, locally generated vectors, global design test, influence tables, valid control state sequences, module testing, fault coverage levels, vector counts, logic testing, test generation, automatic test pattern generation, ATPG, computational cost reduction
14Shiyi Xu, Wei Cen Forecasting the efficiency of test generation algorithms for digital circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF efficiency forecasting, testability parameters, genetic algorithms, genetic algorithms, VLSI, logic testing, integrated circuit testing, sequential circuits, sequential circuits, automatic test pattern generation, ATPG, combinational circuits, combinational circuits, digital circuits, VLSI circuits, digital integrated circuits, test generation algorithms
14Michel Renovell, Jean-Michel Portal, Penelope Faure, Joan Figueras, Yervant Zorian TOF: a tool for test pattern generation optimization of an FPGA application oriented test. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF TOF tool, test pattern generation optimization, FPGA application oriented test, application-oriented test procedure, RAM-based FPGAs, AC nonredundant fault coverage, circuit netlist, TPG optimisation tool, field programmable gate arrays, logic testing, optimisation, integrated circuit testing, automatic test pattern generation, ATPG
14Toshimitsu Masuzawa, Minoru Izutsu, Hiroki Wada, Hideo Fujiwara Single-control testability of RTL data paths for BIST. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF single-control testability, RTL data paths, BIST method, response analyzers, DFT method, high fault coverage, low hardware overhead, VLSI, logic testing, built-in self test, integrated circuit testing, design for testability, automatic test pattern generation, ATPG, test pattern generators, delay faults, VLSI circuits, at-speed testing, transition faults, digital integrated circuits, single stuck-at faults, hierarchical test
14Jennifer Dworak, Michael R. Grimaila, Brad Cobb, Ting-Chi Wang, Li-C. Wang, M. Ray Mercer On the superiority of DO-RE-ME/MPG-D over stuck-at-based defective part level prediction. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DO-RE-ME technique, MPG-D model, defective part level prediction, benchmark circuit simulations, stuck-at fault detection tests, bridging surrogate detection, stuck-at fault coverage, predictor accuracy, industrial circuit, test pattern sequences, integrated circuit testing, automatic test pattern generation, ATPG, fault simulation, logic circuit, circuit simulation, integrated logic circuits, correlation coefficient
14Patrick Girard 0001 Low Power Testing of VLSI Circuits: Problems and Solutions. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Test, Low Power, ATPG, BIST, Low Energy
14Fabrizio Ferrandi, G. Fornara, Donatella Sciuto, G. Ferrara, Franco Fummi Testability Alternatives Exploration through Functional Testing. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF High-level Testability Analysis, Behavioral Test Generation, VHDL, ATPG
14Frank Poehl, Walter Anheier Quality Determination for Gate Delay Fault Tests Considering Three-State Elements. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, fault modelling, fault simulation
14Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian SRAM-Based FPGAs: Testing the Embedded RAM Modules. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, test, ATPG, RAM, iterative testing
14Chris Feige, Jan Ten Pierick, Clemens Wouters, Ronald J. W. T. Tangelder, Hans G. Kerkhoff Integration of the Scan-Test Method into an Architecture Specific Core-Test Approach. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF bus-transfer, core reuse, test protocol, TIC, TTM, vector transfer, design-for-testability, ATPG, scan-test, AMBA
14Xinghao Chen 0004, Thomas J. Snethen, Joe Swenton, Ron Walther A Simplified Method for Testing the IBM Pipeline Partial-Scan Microprocessor. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, DFT
14M. H. Konijnenburg, Hans van der Linden, Ad J. van de Goor Fault (In)Dependent Cost Estimates and Conflict-Directed Backtracking to Guide Sequential Circuit Test Generation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF sequential circuit TPG, back-jumping, conflict-directed backtrack, three-state (tri-state) circuit TPG, ATPG, cost estimates
14Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian Minimizing the Number of Test Configurations for Different FPGA Families. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF FPGA, ATPG
14Sam D. Huynh, Jinyan Zhang, Seongwon Kim, Giri Devarayanadurg, Mani Soma Efficient Test Set Design for Analog and Mixed-Signal Circuits and Systems. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Efficient, ATPG, Digital, Analog, Mixed-Signal
14Tsung-Chu Huang, Kuen-Jong Lee An Input Control Technique for Power Reduction in Scan Circuits During Test Application. Search on Bibsonomy Asian Test Symposium The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, VLSI testing, Power Minimization, Low-power Test, Full-scan
14Ching-Hwa Cheng, Shih-Chieh Chang, Jinn-Shyan Wang, Wen-Ben Jone Charge Sharing Fault Detection for CMOS Domino Logic Circuits. Search on Bibsonomy DFT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF charge sharing, cs-vulnerability, pseudo gate, ATPG, domino circuit
14Zohair Sahraoui, Francky Catthoor, Paul Six, Hugo De Man Techniques for Reducing the Number of Decisions and Backtracks in Combinational Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF supergate, prime-and-irredundant, ATPG, BDD
14Li-C. Wang, Magdy S. Abadir, Jing Zeng On measuring the effectiveness of various design validation approaches for PowerPC microprocessor embedded arrays. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF assertion test generation, design error model, validation, ATPG, logic verification, symbolic trajectory evaluation
14Xiao Sun 0002, Carmie Hull Functional Verification Coverage vs. Physical Stuck-at Fault Coverage. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF functional property, stuck-at fault coverage, verification coverage, UIO, verification, validation, ATPG, FSM, signature analysis, test application time
14V. Rajesh, Ajai Jain Automatic Test Pattern Generation for Sequential Circuits Using Genetic Algorithms. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF Genetic Algorithm, ATPG, Stuck-at-fault, Mutation, Crossover, Fitness Function, Objective Function
14Silvia Chiusano, Fulvio Corno, Paolo Prinetto, Maurizio Rebaudengo, Matteo Sonza Reorda Guaranteeing Testability in Re-encoding for Low Power. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Initialization sequence, Genetic Algorithm, ATPG
14Wim Verhaegen, Geert Van der Plas, Georges G. E. Gielen Automated test pattern generation for analog integrated circuits. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF automated test pattern generation, generated fault list, optimal test signals, statistical fluctuations, statistical test criterion, ATPG algorithm, analogue integrated circuits, analog integrated circuits
14Richard M. Chou, Kewal K. Saluja Sequential Circuit Testing: From DFT to SFT. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF design-for-testability methods, SFT techniques, large sequential circuits, logic testing, automatic test pattern generation, ATPG, synthesis-for-testability, sequential circuit testing, DFT techniques
14Hisashi Kondo, Kwang-Ting Cheng An Efficient Compact Test Generator for IDDQ Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Selective IDDQ, Pattern Compaction, Pseudo Stuck-at Fault, Essential Fault, Test, ATPG, Fault Model, Testability, IDDQ, Leakage Fault
14Li-Ren Huang, Jing-Yang Jou, Sy-Yen Kuo, Wen-Bin Liao Easily Testable Data Path Allocation Using Input/Output Registers. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF testable data path allocation, behavioral synthesis systems, input/output registers, interconnection allocation, module allocation, higher fault coverage, lower hardware overhead, improved testability, VLSI synthesis, optimization, algorithms, benchmarks, ATPG, DFT, register allocation, circuit optimisation, RTL design
14Terry Lee, Ibrahim N. Hajj, Elizabeth M. Rudnick, Janak H. Patel Genetic-algorithm-based test generation for current testing of bridging faults in CMOS VLSI circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF GA-based test generators, CMOS VLSI circuits, I/sub DDQ/ current testing, CMOS digital circuits, two-line bridging fault set, compact test set generation, genetic algorithms, VLSI, logic testing, integrated circuit testing, ATPG, automatic test pattern generator, automatic testing, fault location, bridging faults, CMOS digital integrated circuits, adaptive genetic algorithm
14Claudio Costi, Micaela Serra, Donatella Sciuto A new DFT methodology for sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF design for testability, ATPG, fault coverage, scan design, test application time
14Dimitrios Kagaris, Spyros Tragoudas Avoiding linear dependencies in LFSR test pattern generators. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF ATPG, BIST, LFSR, characteristic polynomials, pseudo-random testing
14Udo Mahlstedt, Jürgen Alt, Ingo Hollenbeck Deterministic test generation for non-classical faults on the gate level. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF deterministic test pattern generator, gate level fault models, function conversions, nonclassical faults, fault list generator, library-based fault modeling strategy, ISCAS benchmark circuits, scan-based circuits, CMOS cell library, algorithm, fault diagnosis, logic testing, design for testability, ATPG, combinational circuits, combinational circuits, fault simulator, logic CAD, stuck-at faults, CMOS logic circuits, bridging faults, deterministic algorithms, logic simulation, transition faults, automatic test software, test efficiency, CONTEST
Displaying result #601 - #700 of 939 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license