The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for lithography with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1974-1990 (18) 1991-1993 (19) 1994-1997 (20) 1998-2000 (15) 2001-2002 (16) 2003 (21) 2004 (19) 2005 (25) 2006 (35) 2007 (35) 2008 (58) 2009 (50) 2010 (37) 2011 (27) 2012 (32) 2013 (35) 2014 (39) 2015 (35) 2016 (35) 2017 (33) 2018 (35) 2019 (23) 2020 (16) 2021 (19) 2022 (18) 2023 (27) 2024 (6)
Publication types (Num. hits)
article(265) book(1) incollection(2) inproceedings(456) phdthesis(24)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 300 occurrences of 168 keywords

Results
Found 748 publication records. Showing 748 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
101Andrzej J. Strojwas, Tejas Jhaveri, Vyacheslav Rovner, Lawrence T. Pileggi Creating an affordable 22nm node using design-lithography co-optimization. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF design technology co-optimization, templates, DFM, regular fabric
89David Z. Pan Lithography friendly routing: from construct-by-correction to correct-by-construction. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing
84B. D. Cook, Soo-Young Lee Fast exposure simulation for large circuit patterns in electron beam lithography. Search on Bibsonomy ICIP The full citation details ... 1995 DBLP  DOI  BibTeX  RDF electron beam lithography, proximity effect (lithography), fast exposure simulation, large circuit patterns, electron beam lithography, global exposure, local exposure, proximity effect, simulation
83Tai-Chen Chen, Guang-Wan Liao, Yao-Wen Chang Predictive formulae for OPC with applications to lithography-friendly routing. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, DFM, OPC, lithography, RET
79Rance Rodrigues, Sandip Kundu A mask double patterning technique using litho simulation by wavelet transform. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double patterning lithography, edge placement error, polygon stitch, wavelet transform
72David Z. Pan, Stephen Renwick, Vivek Singh, Judy Huckabay Nanolithography and CAD challenges for 32nm/22nm and beyond. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
72Shiyan Hu, Jiang Hu Pattern sensitive placement for manufacturability. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF placement, physical design, manufacturability
71Yue Xu, Chris Chu A matching based decomposer for double patterning lithography. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF doubel patterning lithography, planar graph, matching algorithm
71Yang-Shan Tong, Chia-Wei Lin, Sao-Jie Chen An automatic optical-simulation-based lithography hotspot fix flow for post-route optimization. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF routing, opc, lithography, hotspot
71Liang Deng, Martin D. F. Wong, Kai-Yuan Chao, Hua Xiang 0001 Coupling-aware Dummy Metal Insertion for Lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF coupling-aware dummy metal insertion, integrated circuits manufacturing technology, resolution enhancement techniques, off-axis illumination, forbidden pitches, printability improvement, invisible dummy metal segments, lithography cost minimization, optimal algorithm, chemical mechanical polish
64Phiroze N. Parakh, Shankar Krishnamoorthy A robust approach to lithography friendly design implementation. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
64Colin P. Williams, Pieter Kok, Hwang Lee, Jonathan P. Dowling Quantum lithography: A non-computing application of quantum information. Search on Bibsonomy Inform. Forsch. Entwickl. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
64Edward W. Scheckler, Nelson N. Tam, Anton K. Pfau, Andrew R. Neureuther An efficient volume-removal algorithm for practical three-dimensional lithography simulation with experimental verification. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
59Pratik J. Shah, Jiang Hu Impact of lithography-friendly circuit layout. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF cd variation, lithography, wirelength, routing congestion
59Dragoljub Gagi Drmanac, Frank Liu 0001, Li-C. Wang Predicting variability in nanoscale lithography processes. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF modeling variability, photo lithography, machine learning, process variation, kernel methods
59Peng Yu, Sean X. Shi, David Z. Pan Process variation aware OPC with variational lithography modeling. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF lithography modeling, process variation, OPC
59Jihyun Kim 0001, Sanghyeok Seo, Sung-Shick Kim Neural Networks Fusion to Overlay Control System for Lithography Process. Search on Bibsonomy Australian Conference on Artificial Intelligence The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Run-to-Run Control, Neural Networks, Lithography
55Shankar Krishnamoorthy Variation and litho driven physical implementation system. Search on Bibsonomy ISPD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi-variation optimization, VLSI, lithography
53Linda Dailey Paulson New Lithography Approach Promises Powerful Chips. Search on Bibsonomy Computer The full citation details ... 2010 DBLP  DOI  BibTeX  RDF bootup, BIOS, United Extensible Firmware Interface, extreme ultraviolet lithography, deep ultraviolet lithography, medical camera, accelerometer, data glove, OS, CMOS image sensor
52Munkang Choi, Linda S. Milor Diagnosis of Optical Lithography Faults With Product Test Sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
52Manseung Seo, Jaesung Song, Changgeun An Region-Based Pattern Generation Scheme for DMD Based Maskless Lithography. Search on Bibsonomy GREC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
52Nikos Glezos, Ioannis Raptis A fast electron beam lithography simulator based on the Boltzmann transport equation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
48Andrew B. Kahng How to get real mad. Search on Bibsonomy ISPD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design-aware manufacturing, integrated circuit physical design, manufacturing-aware design, performance analysis, design for manufacturability
47Yongchan Ban, David Z. Pan Compact modeling and robust layout optimization for contacts in deep sub-wavelength lithography. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, VLSI, DFM, variation, lithography, contact
47Kanak Agarwal Frequency domain decomposition of layouts for double dipole lithography. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF decomposition, layout, OPC, lithography, OAI, DDL
47Liangliang Yang, Yunfei Zhou, Haihong Pan, Wei Teng Realization of the Synchronization Mechanism of Step and Scan Projection Lithography. Search on Bibsonomy ICIRA (2) The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Step and scan projection lithography, state synchronization, high speed high precision motion control, synchronization control
47Minsik Cho, Kun Yuan, Yongchan Ban, David Z. Pan ELIAD: efficient lithography aware detailed router with compact post-OPC printability prediction. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF routing, VLSI, manufacturability, OPC, lithography
43Kwangok Jeong, Andrew B. Kahng, Rasit Onur Topaloglu Is overlay error more important than interconnect variations in double patterning? Search on Bibsonomy SLIP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning lithography, interconnect variations, overlay
43Yufu Zhang, Zheng Shi A New Method of Implementing Hierarchical OPC. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Sub-wavelength Lithography, Cell-wise, EPE, Hierarchy, DFM, OPC, RET
43Puneet Gupta 0001, Andrew B. Kahng, Dennis Sylvester, Jie Yang 0010 A cost-driven lithographic correction methodology based on off-the-shelf sizing tools. Search on Bibsonomy DAC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF VLSI manufacturability, yield, OPC, lithography, RET
40Yen-Hung Lin, Yih-Lang Li Double patterning lithography aware gridless detailed routing with innovative conflict graph. Search on Bibsonomy DAC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF double patterning, gridless model, detailed routing
40Kwangok Jeong, Andrew B. Kahng Timing analysis and optimization implications of bimodal CD distribution in double patterning lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
40Stanley H. Chan, Edmund Y. Lam Inverse image problem of designing phase shifting masks in optical lithography. Search on Bibsonomy ICIP The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Jinyu Zhang, Wei Xiong, Yan Wang 0023, Zhiping Yu, Min-Chun Tsai A highly efficient optimization algorithm for pixel manipulation in inverse lithography technique. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
40Ritu Singhal, Asha Balijepalli, Anupama R. Subramaniam, Frank Liu 0001, Sani R. Nassif, Yu Cao 0001 Modeling and Analysis of Non-Rectangular Gate for Post-Lithography Circuit Simulation. Search on Bibsonomy DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
40Peter Wright, Minghui Fan A DFM Methodology to Evaluate the Impact of Lithography Conditions on the Speed of Critical Paths in a VLSI Circuit. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Yong-Chan Ban, Soo-Han Choi, Ki-Hung Lee, Dong-Hyun Kim, Jisuk Hong, Yoo-Hyon Kim, Moon-Hyun Yoo, Jeong-Taek Kong A Fast Lithography Verification Framework for Litho-Friendly Layout Design. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Manish Garg, Laurent Le Cam, Matthieu Gonzalez Lithography Driven Layout Design. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
40Louis Scheffer Physical CAD changes to incorporate design for lithography and manufacturability. Search on Bibsonomy ASP-DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Noppachai Anupongpaibool, Soo-Young Lee Distributed Correction of Proximity Effect in Electron Beam Lithography on a Heterogeneous Cluster. Search on Bibsonomy IPDPS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Jun-ho Jeong, Young-suk Sim, Hyonkee Sohn, Eung-sug Lee UV Nanoimprint Lithography Using an Elementwise Embossed Stamp. Search on Bibsonomy ICMENS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
40Linke Jian, Bernd Loechel, Heinz-Ulrich Scheunemann, Martin Bednarzik, Yohannes M. Desta, Jost Goettert Fabrication of Ultra Thick, Ultra High Aspect Ratio Microcomponents by Deep and Ultra Deep X-Ray Lithography. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
40Ruiqi Tian, Ronggang Yu, Xiaoping Tang, D. F. Wong 0001 On mask layout partitioning for electron projection lithography. Search on Bibsonomy ICCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
40Roberto Guerrieri, Karim H. Tadros, John K. Gamelin, Andrew R. Neureuther Massively parallel algorithms for scattering in optical lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
40Yoshihiko Hirai, Sadafumi Tomida, Kazushi Ikeda, Masaru Sasago, Masayuki Endo, Sigeru Hayama, Noboru Nomura Three-dimensional resist process simulator PEACE (photo and electron beam lithography analyzing computer engineering system). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
36Sani R. Nassif, Kevin J. Nowka Physical design challenges beyond the 22nm node. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF technology, scaling
36Kristopher Pataky, Oscar Vazquez-Mena, Juergen Brugger Nanostencil and InkJet Printing for Bionanotechnology Applications. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Inkjet, Plasmonics, Cell Patterning, Cell Printing, Nanotechnology, Biosensor, Stencil, Tissue Engineering
36Shayak Banerjee, Praveen Elakkumanan, Lars Liebmann, Michael Orshansky Electrically driven optical proximity correction based on linear programming. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
36Peng Yu, David Z. Pan TIP-OPC: a new topological invariant paradigm for pixel based optical proximity correction. Search on Bibsonomy ICCAD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
36Qi Lin, Mei Ma, Tony Vo, Jenny Fan, Xin Wu, Richard Li, Xiao-Yu Li Design-for-Manufacture for Multi Gate Oxide CMOS Process. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF multi gate oxide, FPGA, layout, yield, DFM
35Jeehong Yang, Serap A. Savari A Lossless Circuit Layout Image Compression Algorithm for Maskless Lithography Systems. Search on Bibsonomy DCC The full citation details ... 2010 DBLP  DOI  BibTeX  RDF Circuit Layout Image, Maskless Lithography System, C4, Block C4, Lossless image compression
35David Abercrombie, Fedor Pikus, Cosmin Cazan Use of lithography simulation for the calibration of equation-based design rule checks. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF LFD, Leff, equation based DRC, verification, manufacturability, DFM, lithography, DRC
35Zhenhai Zhu A parameterized mask model for lithography simulation. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF mask model, parameterized model order reduction, lithography
35Salman Gopalani, Rajesh Garg, Sunil P. Khatri, Mosong Cheng A lithography-friendly structured ASIC design approach. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF ASIC, OPC, lithography
35Joydeep Mitra, Peng Yu, David Zhigang Pan RADAR: RET-aware detailed routing using fast lithography simulations. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DFM, OPC, lithography, detailed routing, RET
33Seongbo Shim, Youngsoo Shin Mask optimization for directed self-assembly lithography: Inverse DSA and inverse lithography. Search on Bibsonomy ASP-DAC The full citation details ... 2016 DBLP  DOI  BibTeX  RDF
33Luis Guillermo Villanueva, Oscar Vazquez-Mena, Cristina Martin-Olmos, Veronica Savu, Katrin Sidler, Juergen Brugger Resistless Fabrication of Nanoimprint Lithography (NIL) Stamps Using Nano-Stencil Lithography. Search on Bibsonomy Micromachines The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
33Hongwen Sun, Jingquan Liu, Di Chen Cell Growth Orientated in Substrate Fabricated by Holographic Lithography and Nanoimprint Lithography. Search on Bibsonomy BMEI The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Jerome P. Silverman, Robert P. Rippstein, James M. Oberschmidt X-ray lithography beamlines in the IBM Advanced Lithography Facility. Search on Bibsonomy IBM J. Res. Dev. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
30Yongchan Ban, Savithri Sundareswaran, David Z. Pan Total sensitivity based dfm optimization of standard library cells. Search on Bibsonomy ISPD The full citation details ... 2010 DBLP  DOI  BibTeX  RDF optimization, VLSI, sensitivity, DFM, lithography
30Alessandro Carpentiero, Manuela De Leo, Ivan Garcia Romero, Stefano Pozzi Mucelli, Freimut Reuther, Giorgio Stanta, Massimo Tormen, Paolo Ugo, Martina Zamuner Nanoelectrochemical Immunosensors for Protein Detection. Search on Bibsonomy NanoNet The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Nanoelectrode, electrochemical immunosensor, trastuzumab, voltammetry, electron beam lithography, proteomics
30Stephen P. Kornachuk, Michael C. Smayling New strategies for gridded physical design for 32nm technologies and beyond. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 28nm, 32nm, 45nm, litho, rdr, placement, layout, physical design, manufacturability, lithography, standard cell, vlsi, drc, dfm
30Kun Yuan, Jae-Seok Yang, David Z. Pan Double patterning layout decomposition for simultaneous conflict and stitch minimization. Search on Bibsonomy ISPD The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning lithography, layout decomposition, integer linear programming
30Jason Cong, Yi Zou Lithographic aerial image simulation with FPGA-based hardwareacceleration. Search on Bibsonomy FPGA The full citation details ... 2008 DBLP  DOI  BibTeX  RDF co-processor acceleration, lithography simulation, FPGA
30Steve Leibson, James Kim Configurable Processors: A New Era in Chip Design. Search on Bibsonomy Computer The full citation details ... 2005 DBLP  DOI  BibTeX  RDF nanometer silicon lithography, microprocessors, multiprocessor systems, MPSoCs, configurable processors
30Lars Liebmann Layout impact of resolution enhancement techniques: impediment or opportunity? Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF radically restricted designs, resolution enhancement techniques, design for manufacturability, lithography
30Anton A. Kachayev, David M. Klymyshyn, Sven Achenbach, Volker Saile High Vertical Aspect Ratio Liga Microwave 3-DB Coupler. Search on Bibsonomy ICMENS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LIGA, deep X-ray lithography, high vertical aspect ratio, microwave directional coupler, coupled lines
30Franklin M. Schellenberg, Luigi Capodieci Impact of RET on physical layouts. Search on Bibsonomy ISPD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF off-axis illumination, physical verification, simulation, DFM, OPC, lithography, RET, phase-shifting, PSM
30Michael J. Flynn What's ahead in computer design? Search on Bibsonomy EUROMICRO The full citation details ... 1997 DBLP  DOI  BibTeX  RDF die area improvement, very high level superscalar processors, very large cache, pin bandwidth, processor complexity, scalability, multiprocessors, logic design, instruction level parallelism, VLIW, CMOS technology, lithography, cycle time, computer design, silicon area, cache size
28Caroline Prodhon, Demetrio Macías, Farouk Yalaoui, Alexandre Vial, Lionel Amodeo Evolutionary Optimization for Plasmon-Assisted Lithography. Search on Bibsonomy EvoWorkshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Nancy Ying Zhou, Rouwaida Kanj, Kanak Agarwal, Zhuo Li 0001, Rajiv V. Joshi, Sani R. Nassif, Weiping Shi The impact of BEOL lithography effects on the SRAM cell performance and yield. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
28Kun Yuan, Katrina Lu, David Z. Pan Double patterning lithography friendly detailed routing with redundant via consideration. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF double patterning, redundant via, detailed routing
28Aswin Sreedhar, Alodeep Sanyal, Sandip Kundu On Modeling and Testing of Lithography Related Open Faults in Nano-CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Puneet Gupta 0001, Andrew B. Kahng, Youngmin Kim, Saumil Shah, Dennis Sylvester Investigation of diffusion rounding for post-lithography analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Kuen-Yu Tsai, Meng-Fu You, Yi-Chang Lu, Philip C. W. Ng A new method to improve accuracy of leakage current estimation for transistors with non-rectangular gates due to sub-wavelength lithography effects. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Andrew B. Kahng, Chul-Hong Park, Xu Xu 0001, Hailong Yao Layout decomposition for double patterning lithography. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
28Nancy Ying Zhou, Zhuo Li 0001, Yuxin Tian, Weiping Shi, Frank Liu 0001 A New Methodology for Interconnect Parasitics Extraction Considering Photo-Lithography Effects. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Aswin Sreedhar, Sandip Kundu On modeling impact of sub-wavelength lithography on transistors. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Makoto Sugihara, Taiga Takata, Kenta Nakamura, Ryoichi Inanami, Hiroaki Hayashi, Katsumi Kishimoto, Tetsuya Hasebe, Yukihiro Kawano, Yusuke Matsunaga, Kazuaki J. Murakami, Katsuya Okumura A character size optimization technique for throughput enhancement of character projection lithography. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
28Ke Cao, Sorin Dobre, Jiang Hu Standard cell characterization considering lithography induced variations. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF process CD, CAD, OPC, design flow, standard cell, RET
28Franck Robin, Esteban Moreno Soriano Analysis of fitness functions for electron-beam lithography simulation and evolutionary optimization. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Pradiptya Ghosh, Chung-shin Kang, Michael Sanie, David Pinto 0003 New DFM Approach Abstracts AltPSM Lithography Requirements for sub-100nm IC Design Domains. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
28Kenji Harafuji, Akio Misaka, Noboru Nomura, Masahiro Kawamoto, Hirohiko Yamashita A novel hierarchical approach for proximity effect correction in electron beam lithography. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Ru Huang, HanMing Wu, Jinfeng Kang, DeYuan Xiao, XueLong Shi, Xia An, Yu Tian, Runsheng Wang, Liangliang Zhang, Xing Zhang 0002, Yangyuan Wang Challenges of 22 nm and beyond CMOS technology. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF 22 nm technology node, device architectures, metal gate/high K dielectrics, ultra low K dielectrics, CMOS technology
24Hiroki Sunagawa, Haruhiko Terada, Akira Tsuchiya, Kazutoshi Kobayashi, Hidetoshi Onodera Erect of regularity-enhanced layout on printability and circuit performance of standard cells. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24David Z. Pan Synergistic modeling and optimization for nanometer IC design/manufacturing integration. Search on Bibsonomy SBCCI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF design for manufacturing
24Minsik Cho, Yongchan Ban, David Z. Pan Double patterning technology friendly detailed routing. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Jae-Seok Yang, Andrew R. Neureuther Crosstalk Noise Variation Assessment and Analysis for the Worst Process Corner. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF worst corner, noise, crosstalk, variation, signal integrity
24Yun Ye, Frank Liu 0001, Sani R. Nassif, Yu Cao 0001 Statistical modeling and simulation of threshold variation under dopant fluctuations and line-edge roughness. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF atomistic simulations, line-edge roughness, non-rectangular gate, random dopant fluctuations, threshold variation, predictive modeling, SPICE simulation
24Philippe Magarshack Design challenges in 45nm and below: DFM, low-power and design for reliability. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF design for reliability, low-power design, design for manufacturability
24Jamil Kawa, Charles C. Chiang DFM issues for 65nm and beyond. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2007 DBLP  DOI  BibTeX  RDF DFY, DFM
24Yokesh Kumar, Prosenjit Gupta Reducing EPL Alignment Errors for Large VLSI Layouts. Search on Bibsonomy ISQED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
24Munkang Choi, Linda S. Milor Impact on circuit performance of deterministic within-die variation in nanoscale semiconductor manufacturing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Raul Camposano Adding Manufacturability to the Quality of Results. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Jaidev P. Patwardhan, Vijeta Johri, Chris Dwyer, Alvin R. Lebeck A defect tolerant self-organizing nanoscale SIMD architecture. Search on Bibsonomy ASPLOS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF self-organizing, SIMD, data parallel, DNA, defect tolerance, nanocomputing, bit-serial
24Wei Zhang 0012, Niraj K. Jha, Li Shang NATURE: a hybrid nanotube/CMOS dynamically reconfigurable architecture. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF NRAM, logic folding, run-time reconfiguration
24André DeHon Design of programmable interconnect for sublithographic programmable logic arrays. Search on Bibsonomy FPGA The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Manhattan mesh, sublithographic architecture, programmable logic arrays, nanowires, programmable interconnect
24Soo-Young Lee, Noppachai Anupongpaibool Optimization of Distributed Implementation of Grayscale Electron-Beam Proximity Effect Correction on a Temporally Heterogeneous Cluster. Search on Bibsonomy IPDPS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24André DeHon, Michael J. Wilson Nanowire-based sublithographic programmable logic arrays. Search on Bibsonomy FPGA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF sublithographic architecture, programmable logic arrays, nanowires
24Alfred K. Wong Microlithography: Trends, Challenges, Solutions, and Their Impact on Design. Search on Bibsonomy IEEE Micro The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 748 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license