The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for circuit with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1949-1958 (16) 1959-1960 (20) 1961 (37) 1962 (20) 1963 (22) 1964 (30) 1965 (40) 1966-1968 (29) 1969-1970 (22) 1971-1972 (22) 1973 (16) 1974-1975 (39) 1976 (30) 1977 (28) 1978 (26) 1979 (29) 1980 (29) 1981 (26) 1982 (53) 1983 (54) 1984 (68) 1985 (89) 1986 (86) 1987 (91) 1988 (217) 1989 (205) 1990 (306) 1991 (257) 1992 (292) 1993 (420) 1994 (429) 1995 (744) 1996 (603) 1997 (602) 1998 (633) 1999 (897) 2000 (840) 2001 (843) 2002 (1113) 2003 (1371) 2004 (1403) 2005 (1935) 2006 (1900) 2007 (2030) 2008 (1770) 2009 (1325) 2010 (764) 2011 (960) 2012 (852) 2013 (942) 2014 (792) 2015 (1075) 2016 (980) 2017 (1237) 2018 (1179) 2019 (1213) 2020 (1213) 2021 (1369) 2022 (1441) 2023 (1637) 2024 (395)
Publication types (Num. hits)
article(14766) book(35) data(23) incollection(137) inproceedings(21872) phdthesis(236) proceedings(37)
Venues (Conferences, Journals, ...)
Int. J. Circuit Theory Appl.(3099) ECCTD(1441) IEEE Trans. Comput. Aided Des....(1380) ISCAS(1360) DAC(901) CoRR(752) PATMOS(650) ICCAD(638) IEEE Trans. Very Large Scale I...(570) VLSI Design(569) DATE(537) IEEE Access(458) ASP-DAC(445) ISQED(438) IEEE Trans. Ind. Electron.(409) SMACD(397) More (+10 of total 2506)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 15796 occurrences of 4131 keywords

Results
Found 37106 publication records. Showing 37106 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
24Christopher P. Silva The double-Hook Attractor in Chua's Circuit: some analytical Results. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Alexander P. Kuznetsov, Sergey P. Kuznetsov, Igor R. Sataev, Leon O. Chua Two-parameter Study of Transition to Chaos in Chua's Circuit: Renormalization Group, Universality and Scaling. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Ljupco Kocarev, K. Sean Halle, Kevin Eckert, Leon O. Chua Experimental Observation of Antimonotonicity in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Alexander I. Khibnik, Dirk Roose, Leon O. Chua On periodic orbits and homoclinic bifurcations in Chua's Circuit with a smooth nonlinearity. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24G. A. Johnson, E. R. Hunt Maintaining stability in Chua's Circuit Driven into regions of oscillation and Chaos. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Frank Böhme, Wolfgang Schwarz Transformations of Circuits belonging to Chua's Circuit family into nonlinear feedback Loops Made of passive RC-filter and Active memoryless nonlinearity. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Vadim S. Anishchenko, Alexander B. Neiman, Leon O. Chua Chaos-Chaos intermittency and 1/F noise in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Bruno Rossetto Chua's Circuit as a Slow-Fast Autonomous dynamical System. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Nikolai F. Rulkov, Alexander Volkovskii Experimental Analysis of 1-d Maps from Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24René Lozi, Shigehiro Ushiki The Theory of Confinors in Chua's Circuit: accurate Analysis of bifurcations and attractors. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Michael Peter Kennedy, Chai Wah Wu, Stanley Pau, James Tow Digital signal Processor-based Investigation of Chua's Circuit family. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Xavier Rodet Sound and Music from Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Edward J. Altman Bifurcation Analysis of Chua's Circuit with Applications for low-Level Visual Sensing. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24G. A. Johnson, T. E. Tigner, E. R. Hunt Controlling Chaos in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Ladislav Pivka, Viktor Spány Boundary surfaces and Basin bifurcations in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Vadim S. Anishchenko, M. A. Safonova, Leon O. Chua Stochastic resonance in Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Ray Brown From the Chua Circuit to the generalized Chua Map. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Fan Zou, Josef A. Nossek An Autonomous Chaotic Cellular Neural Network and Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Marc Genot Applications of 1-d Map from Chua's Circuit: a Pictorial Guide. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Tom T. Hartley, Faramarz Mossayebi Control of Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Roberto Genesio, Alberto Tesi Distortion control of Chaotic Systems: the Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Rabinder N. Madan, Chai Wah Wu Introduction to Experimental Chaos using Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24K. A. Lukin High-frequency oscillations from Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24James Glover, Alistair Mees Reconstructing the dynamics of Chua's Circuit. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24C. M. Blázquez, E. Tuma Dynamics of Chua's Circuit in a Banach Space. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Adelheid I. Mahla, Álvaro G. Badan Palhares Chua's Circuit with a discontinuous nonlinearity. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Claus Kahlert The effects of Symmetry Breaking in Chua's Circuit and Related piecewise-linear dynamical Systems. Search on Bibsonomy Chua's Circuit The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
24Lee A. Belfore II, Amy B. Adcock, Ginger S. Watson Introducing digital logic and electronics concepts in a game-like environment. Search on Bibsonomy SpringSim The full citation details ... 2009 DBLP  BibTeX  RDF game based learning, computer engineering, digital logic
24Yu Wang 0002, Xiaoming Chen 0003, Wenping Wang, Varsha Balakrishnan, Yu Cao 0001, Yuan Xie 0001, Huazhong Yang On the efficacy of input Vector Control to mitigate NBTI effects and leakage power. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Kei Uchizawa, Rodney J. Douglas, Wolfgang Maass 0001 Energy Complexity and Entropy of Threshold Circuits. Search on Bibsonomy ICALP (1) The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Tathagato Rai Dastidar, P. P. Chakrabarti 0001, Partha Ray A synthesis system for analog circuits based on evolutionary search and topological reuse. Search on Bibsonomy IEEE Trans. Evol. Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST scheme for RTL circuits based on symbolic testabilityanalysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
24Ivan S. Kourtev, Eby G. Friedman Clock skew scheduling for improved reliability via quadratic programming. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
24Rajagopalan Srinivasan, Sandeep K. Gupta 0001, Melvin A. Breuer Bounds on pseudoexhaustive test lengths. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24José Duato, Pedro López 0001, Sudhakar Yalamanchili Deadlock- and Livelock-Free Routing Protocols for Wave Switching. Search on Bibsonomy IPPS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
24Kaushik De, Chitra Natarajan, Devi Nair, Prithviraj Banerjee RSYN: a system for automated synthesis of reliable multilevel circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
23Cong-Kha Pham CMOS Schmitt Trigger Circuit with Controllable Hysteresis Using Logical Threshold Voltage Control Circuit. Search on Bibsonomy ACIS-ICIS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
23Lyudmila Zinchenko, Heinz Mühlenbein, Victor Kureichik, Thilo Mahnig A Comparison of Different Circuit Representations for Evolutionary Analog Circuit Design. Search on Bibsonomy ICES The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Gensoh Matsubara, Nobuhiro Ide A Low Power Zero-Overhead Self-Timed Division and Square Root Unit Combining a Single-Rail Static Circuit with a Dual-Rail Dynamic Circuit. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF floating point, division, square root, self-timed
23William Adams, Warren A. Hunt Jr., Damir Jamsek Verisym: Verifying Circuits by Symbolic Simulation. Search on Bibsonomy Formal Methods Syst. Des. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF circuit extraction, formal property checking, memory verification, symbolic simulation
23Qadeer Ahmad Khan, Sanjay Kumar Wadhwa, Kulbhushan Misri Low power startup circuits for voltage and current reference with zero steady state current. Search on Bibsonomy ISLPED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF low power integrated circuits, startup circuit, voltage reference, current reference
23Kazuo Iwama, Hiroki Morizumi, Jun Tarui Negation-Limited Complexity of Parity and Inverters. Search on Bibsonomy Algorithmica The full citation details ... 2009 DBLP  DOI  BibTeX  RDF Negation-limited circuit, Parity function, Inversion complexity, Gate elimination, Circuit complexity, Inverter
23Luís Mendes, Eduardo José Solteiro Pires, Paulo B. de Moura Oliveira, José António Tenreiro Machado, Nuno M. Fonseca Ferreira, João Caldinhas Vaz, Maria João Rosário Design Optimization of Radio Frequency Discrete Tuning Varactors. Search on Bibsonomy EvoWorkshops The full citation details ... 2009 DBLP  DOI  BibTeX  RDF automated circuit synthesis, radio frequency integrated circuits, Evolutionary algorithms, analog circuit design
23Fei Qiao, Huazhong Yang, Gang Huang, Hui Wang 0004 Implementation of low-swing differential interface circuits for high-speed on-chip asynchronous interconnection. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF low-swing interface, differential signaling, tapered-buffer, interconnect, asynchronous circuit, low power circuit
23Yiming Li 0005, Chih-Hong Hwang, Ta-Ching Yeh, Tien-Yeh Li Large-scale atomistic approach to random-dopant-induced characteristic variability in nanoscale CMOS digital and high-frequency integrated circuits. Search on Bibsonomy ICCAD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF device variability, high frequency circuit, random dopant, timing, digital circuit, fluctuation
23Vijay Sundararajan, Sachin S. Sapatnekar, Keshab K. Parhi A new approach for integration of min-area retiming and min-delay padding for simultaneously addressing short-path and long-path constraints. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Minimum area retiming, application of mincost network flow, longpath circuit constraints, minimum delay padding, shortpath circuit constraints
23Eric W. MacDonald, Nur A. Touba Testing domino circuits in SOI technology. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF silicon-on-insulator, SOI technology, dynamic circuit styles, fault modeling analysis, overall fault coverage, parasitic bipolar leakage, CMOS logic, logic testing, integrated circuit testing, automatic testing, fault simulation, CMOS logic circuits, leakage currents, domino circuits
23Luís Guerra e Silva, Luís Miguel Silveira, João Marques-Silva 0001 Algorithms for Solving Boolean Satisfiability in Combinational Circuits. Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF Circuit Delay Computation, Test Pattern Generation, Boolean Satisfiability, Circuit Satisfiability
23Josef Eckmüller, Martin Groepl, Helmut E. Graeb Hierarchical Characterization of Analog Integrated CMOS Circuits. Search on Bibsonomy DATE The full citation details ... 1998 DBLP  DOI  BibTeX  RDF hierarchical characterization, circuit class, topology independent, transistor pairs, circuit performances, functional constraints
23Charles E. Molnar, Ian W. Jones, William S. Coates, Jon K. Lexau A FIFO Ring Performance Experiment. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF FIFO ring performance experiment, high-speed FIFO circuit, asynchronous FIFO, clocked shift register, pulse-like protocol, two-phase clocked design, MOSIS, internal FIFO stages, 3.3 V, 1.67 to 4.8 V, 0.6 micron, pipeline, SPICE, data path, hSpice, circuit delays
23Abby A. Ilumoka Modular artificial neural network models for simulation and optimization of VLSI circuits. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF modular artificial neural network models, MANN, process level parameters, optimization, circuit analysis computing, VLSI circuits, modular neural network, circuit performance
23Alvin Jee, F. Joel Ferguson A methodolgy for characterizing cell testability. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cell testability, stuck-at fault coverage, IC quality, physical design for testability, metric, integrated circuit design, integrated circuit design, DPM, manufacturing defects
23Franco Fummi, Donatella Sciuto Implicit test pattern generation constrained to cellular automata embedding. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF implicit test pattern generation, cellular automata embedding, test sequence identification, autonomous finite state machine, off-line self-testable circuit, BIST strategy, deterministic test sequences, MCNC benchmarks, controller, built-in self test, stuck-at faults, ASIC design, circuit under test
23Jong Won Park, David T. Harper III An Efficient Memory System for the SIMD Construction of a Gaussian Pyramid. Search on Bibsonomy IEEE Trans. Parallel Distributed Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF SIMD memory architecture, address calculating circuit, data routing circuit, Gaussian pyramid algorithms, image processing, parallel memory systems
23Zhuxing Zhao, Zhongcheng Li, Yinghua Min Waveform Polynomial Manipulation Using Bdds. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF waveform polynomial manipulation, design verification and test, middle size circuits, complexity, data structure, high level synthesis, timing, logic design, combinational circuit, logic synthesis, binary decision diagram, directed acyclic graph, digital circuit, Boolean process
23Rajesh Ramadoss, Michael L. Bushnell Test generation for mixed-signal devices using signal flow graphs. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF mixed-signal devices, reverse simulation approach, component tolerances, analog input sinusoids, test generation results, analog backtrace method, high-order analog circuits, fault diagnosis, test generation, integrated circuit testing, automatic testing, circuit analysis computing, mixed analogue-digital integrated circuits, signal flow graphs, signal flow graphs, nonlinear circuits
23Mandyam-Komar Srinivas, Vishwani D. Agrawal, Michael L. Bushnell Functional test generation for path delay faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF growth faults, disappearance faults, robustly detectable path delay faults, two-level circuit, algebraic transformations, generated vectors, algebraically factored multilevel circuit, scan/hold versions, ISCAS89 circuits, fault diagnosis, logic testing, delays, timings, fault coverages, fault location, programmable logic arrays, programmable logic arrays, PLA, multivalued logic, path delay faults, functional test generation, stuck faults
23Takahiro Hanyu, Akira Mochizuki, Michitaka Kameyama Multiple-Valued Arithmetic Integrated Circuits Based on 1.5V-Supply Dual-Rail Source-Coupled Logic. Search on Bibsonomy ISMVL The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple-valued arithmetic integrated circuits, dual-rail source-coupled logic, multiple-valued current-mode MOS integrated circuit, high-speed arithmetic systems, multiple-valued source-coupled logic circuit, dual-rail complementary inputs, pipelined multiplier, 54 bit, 200 MHz, 0.8 mum, 1.5 V, CMOS logic circuits, multiplying circuits, multivalued logic circuits, current-mode logic, pipeline arithmetic
23Chris J. Myers, Tomas Rokicki, Teresa H.-Y. Meng Automatic synthesis of gate-level timed circuits with choice. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level timed circuits, C-elements, explicit timing information, textual specification, conditional operation, reachable state space, semi-custom components, timing, logic CAD, asynchronous circuits, asynchronous circuits, circuit CAD, cellular arrays, circuit complexity, logic arrays, graphical representation, standard-cells, CAD tool, automatic synthesis, gate-arrays, state-space methods, AND gates, OR gates
23Enrico Macii, Massimo Poncino Estimating worst-case power consumption of CMOS circuits modeled as symbolic neural networks. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF worst-case power consumption, symbolic neural networks, gate level description, symbolic domain, algebraic decision diagrams, graph specification, delays, combinational circuits, combinational circuits, logic CAD, circuit analysis computing, CMOS logic circuits, CMOS circuits, integrated circuit modelling, energy dissipation
23Hiroyuki Yotsuyanagi, Seiji Kajihara, Kozo Kinoshita Resynthesis for sequential circuits designed with a specified initial state. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF specified initial state, retiming method, redundancy removal method, resynthesized circuit, input sequences, logic optimisation, timing, redundancy, sequential circuits, logic CAD, flip-flops, flip-flops, circuit optimisation, synchronous sequential circuits
23Srimat T. Chakradhar Optimum retiming of large sequential circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF optimum retiming, large sequential circuits, unit delay model, optimum clock period, path graph, VLSI, linear programming, delays, timing, integer programming, sequential circuits, logic CAD, integer linear program, flip-flops, circuit CAD, fast algorithm, integrated logic circuits, circuit optimisation, VLSI circuits, linear program relaxation
23Imtiaz P. Shaik, Michael L. Bushnell A graph approach to DFT hardware placement for robust delay fault BIST. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF graph heuristic, DFT hardware placement, robust delay fault BIST, ULSI circuit, built-in self-testing model, design for testability hardware, hazard free structure, graph theory, logic testing, delays, built-in self test, integrated circuit testing, design for testability, fault location, digital integrated circuits, ULSI
22Stanislaw J. Piestrak Design Method of a Class of Embedded Combinational Self-Testing Checkers for Two-Rail Codes. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2002 DBLP  DOI  BibTeX  RDF embedded circuit, inverter-free circuit, totally self-testing circuit, concurrent error detection, Berger code, self-testing checker, two-rail code
22Richard Cleve, John Watrous Fast parallel circuits for the quantum Fourier transform. Search on Bibsonomy FOCS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF fast parallel circuits, quantum Fourier transform, QFT, circuit depth, constant error, depth bound, polynomial size, classical polynomial-time processing, depth complexity, arbitrary modulus, lower bound, theorem proving, quantum computing, Fourier transforms, upper bound, circuit complexity, circuit complexity, quantum circuits, factoring algorithm
22Wu-Tung Cheng Current status and future trend on CAD tools for VLSI testing Wu-Tung Cheng. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF test logic, deep Sub-Micron technologies, scan-based ATPG, test application cost, test development, VLSI, CAD, logic testing, built-in self test, system on chip, SoC, automatic test pattern generation, automatic test pattern generation, ATPG, BIST, VLSI design, integrated circuit design, circuit CAD, VLSI testing, embedded memories, test quality, integrated circuit economics
22Chin-Te Kao, Sam Wu, Jwu E. Chen A case study of failure analysis and guardband determination for a 64M-bit DRAM. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF guardband determination, prevention strategy, test derivation, test cost, 64 Mbit, integrated circuit testing, yield, DRAM, failure analysis, failure analysis, test selection, DRAM chips, product quality, integrated circuit yield, integrated circuit economics
22M. Miegler, Werner Wolz Development of test programs in a virtual test environment. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF test programs development, virtual test environment, quality-assured mixed-signal test programs, standard test description language, VTML, Virtual Test Modelling Language, standardized description models, test system resources, equivalent simulation models, VLSI, integrated circuit testing, design for testability, integrated circuit design, circuit CAD, automatic test software
22Egor S. Sogomonyan, Michael Gössel Concurrently self-testing embedded checkers for ultra-reliable fault-tolerant systems. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF circuit feedback, concurrently self-testing embedded checker, ultra-reliable fault-tolerant system, parity codes, design, monitoring, built-in self test, integrated circuit testing, linear feedback shift register, error detection codes, error detection code, shift registers, arithmetic codes, Berger codes, duplication codes, integrated circuit reliability, corrector
22Michel Renovell, P. Huc, Yves Bertrand Serial transistor network modeling for bridging fault simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF serial transistor network modeling, voting model, biased voting model, relative transistor strength, SPICE pre-simulation, fault simulation procedure, CMOS logic, fault diagnosis, logic testing, integrated circuit testing, digital simulation, circuit analysis computing, CMOS logic circuits, SPICE, integrated circuit modelling, bridging fault simulation
22Hassan Ihs, Christian Dufaza Tolerance DC bands of CMOS operational amplifier. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tolerance DC bands, CMOS operational amplifier, DC node voltages, data tolerance bands, foundry process fluctuations, DC branch current, OA, supply voltage, catastrophic defects, transistor connections, optimization, fault diagnosis, integrated circuit testing, fault detection, fault model, fault simulation, circuit optimisation, operational amplifiers, integrated circuit modelling, transistor size, CMOS analogue integrated circuits, design parameters
22Hannah Honghua Yang, D. F. Wong 0001 New algorithms for min-cut replication in partitioned circuits. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Hyper-MAMC, VLSI circuit partitioning, k-way partition, k-way partitioned digraph, min-cut replication, partitioned circuits, VLSI, optimal algorithm, circuit layout CAD, hypergraphs, VLSI layout, digraphs, circuit layout
22Sasan Iman, Massoud Pedram Two-level logic minimization for low power. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Power Prime Implicants, low power two-level logic minimization, minimum covering problem, minimum power solution, static CMOS circuits, logic design, logic CAD, integrated circuit design, CMOS logic circuits, circuit CAD, circuit optimisation, minimisation of switching nets
22X. Cai, Keith Nabors, Jacob K. White 0001 Efficient Galerkin techniques for multipole-accelerated capacitance extraction of 3-D structures with multiple dielectrics. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF piecewise constant techniques, permittivity, Galerkin techniques, multipole-accelerated capacitance extraction, multiple dielectrics, arbitrary piecewise-constant dielectric medium, IC interconnections, VLSI, VLSI, integrated circuit design, circuit CAD, boundary-elements methods, boundary element method, capacitance, integrated circuit interconnections, Galerkin method, capacitance extraction, 3D structures
22Robert Pearson Linking fabrication and parametric testing to VLSI design courses. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI design courses, simulation model parameters, VLSI, integrated circuit testing, integrated circuit design, integrated circuit modelling, educational courses, device models, parametric testing, electronic engineering education
22Enric Pastor, Jordi Cortadella, Oriol Roig A new look at the conditions for the synthesis of speed-independent circuits. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF gate-level synthesis, gate library constraint, fan-in reduction, VLSI, logic design, logic CAD, integrated circuit design, circuit CAD, integrated logic circuits, circuit optimisation, optimization techniques, speed-independent circuits
22James M. Varanelli, James P. Cohoon A two-stage simulated annealing methodology. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF two-stage simulated annealing methodology, starting temperature determination, problem suite, VLSI, VLSI, formal method, simulated annealing, CAD, integrated circuit design, circuit CAD, optimization problems, circuit optimisation, running time, adaptive schedules, stop criterion
22Nestoras Tzartzanis, William C. Athas Design and analysis of a low-power energy-recovery adder. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF energy-recovery adder, frequency range, VLSI, VLSI, delays, logic CAD, circuit analysis computing, integrated circuit design, adders, CMOS logic circuits, CMOS logic circuits, circuit CAD, SPICE, SPICE simulation, delay time, energy dissipation
22Nikolaos G. Bourbakis, Mohammad Mortazavi An efficient building block layout methodology for compact placement. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF building block layout methodology, compact placement, synthesis placement, GEOMETRIA, geometric reshapings, VLSI regulation, functional performance, connection lines, occupied chip area, neighboring relations, dead space, open holes, channels merging process, legal overlapping, VLSI, formal languages, formal language, network routing, circuit layout CAD, compaction, global routing, integrated circuit layout, integrated circuit interconnections, local routing
22Masahiro Nagamatu, Shakeel Ismail, Torao Yanaru Lagrangian method for wire routing of layout design. Search on Bibsonomy ANNES The full citation details ... 1995 DBLP  DOI  BibTeX  RDF wire routing, layout design, LSI layout design, continuous valued constrained optimization problem, continuous valued wires, dynamic equations, small switchbox routing problems, rip-up reroute maze router, neural nets, network routing, circuit layout CAD, circuit optimisation, integrated circuit layout, large scale integration, neurocomputing, Lagrangian method
22Peter Lidén, Peter Dahlgren Switch-level modeling of transistor-level stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF transistor-level stuck-at faults, switch-level algorithms, fault modeling capability, fault detection measures, confidence degradation, unknown output values, uncertainty quantification, node model, fault diagnosis, logic testing, integrated circuit testing, circuit analysis computing, CMOS logic circuits, CMOS circuits, integrated circuit modelling, switch-level modeling
22O. A. Petlin, Stephen B. Furber Scan testing of micropipelines. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF asynchronous VLSI circuit design, AMULET1 microprocessor, scan test technique, data processing blocks, combinational processing logic, state holding elements, test generation techniques, VLSI, logic testing, delays, integrated circuit testing, design for testability, logic design, asynchronous circuits, fault location, integrated circuit design, microprocessor chips, delay faults, boundary scan testing, computer testing, test patterns, single stuck-at faults, micropipelines
22Alessandro Bogliolo, Maurizio Damiani Synthesis of combinational circuits with special fault-handling capabilitie. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF combinational circuit synthesis, fault-handling capabilities, internal faults, multilevel logic optimization process, logic testing, redundancy, redundancy, design for testability, logic design, combinational circuits, logic CAD, multivalued logic, circuit optimisation, self-checking circuits, circuit reliability, fault-tolerant circuits
22B. Hamdi, Hakim Bederr, Michael Nicolaidis A tool for automatic generation of self-checking data paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF self-checking data paths, ALUs, shifters, double rail checkers, logic testing, built-in self test, microprocessors, adders, circuit CAD, multipliers, microcontrollers, register files, circuit design, CAD tools, automatic generation, automatic test software, dividers, circuit testing, parity checkers
22Srinivasa R. Danda, Sreekrishna Madhwapathy, Naveed A. Sherwani Optimal algorithms for planar over-the-cell routing in the presence of obstacles. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF planar over-the-cell routing, arbitrary shaped obstacles, two layer standard cell design methodology, ALGO-PROBES algorithm, VLSI, network routing, optimal algorithms, circuit layout CAD, circuit optimisation, integrated circuit layout
22P. S. Dasgupta, Susmita Sur-Kolay, Bhargab B. Bhattacharya VLSI floorplan generation and area optimization using AND-OR graph search. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF VLSI floorplan generation, AND-OR graph search, rectangular dualization, minimum-area floorplan, optimal sizing, heuristic search method, top-down first phase, search effort, bottom-up polynomial-time algorithm, nonslicible floorplans, VLSI, graph theory, circuit layout CAD, circuit optimisation, integrated circuit interconnections, aspect ratios, area optimization, adjacency graph
22Keumog Ahn, Sartaj Sahni NP-Hard Module Rotation Problems. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF NP-hard module rotation problems, circuit modules, performance, computational complexity, circuit layout CAD, circuit layout CAD, routability
22Lindsay Kleeman The Jitter Model for Metastability and Its Application to Redundant Synchronizers. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1990 DBLP  DOI  BibTeX  RDF jitter model, redundant synchronizers, circuit noise, CMOS D-type flip-flop, bistable device, simulation, circuit analysis computing, flip-flops, SPICE, CMOS integrated circuits, integrated logic circuits, reliability analysis, metastability, timing model, circuit analysis
22Takeshi Yamakawa, Tsutomu Miki The Current Mode Fuzzy Logic Integrated Circuits Fabricated by the Standard CMOS Process. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1986 DBLP  DOI  BibTeX  RDF semicustom IC, fuzzy computer, fuzzy integrated circuit, fuzzy logic array, fuzzy logic building block, MOS current mirror, ratioless circuit, Current mode circuit
22Michal Servít Hazard Correction in Synchronous Sequential Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1975 DBLP  DOI  BibTeX  RDF Double-rank circuit, flip-flop memory, hazard correction, single-rank circuit, synchronous sequential circuit
22Satyendra R. Datla, Mitchell A. Thornton, David W. Matula A Low Power High Performance Radix-4 Approximate Squaring Circuit. Search on Bibsonomy ASAP The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22David Grant, Guy G. Lemieux Perturb+mutate: Semisynthetic circuit generation for incremental placement and routing. Search on Bibsonomy ACM Trans. Reconfigurable Technol. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Automated development tools, hardware-supporting software, testing, graph algorithms, design automation, place and route
22Tracey Y. Zhou, Dian Zhou, Hua Zhang 0019, Xinyue Niu Foundational-circuit-based spice simulation. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Matthew Webb, Hua Tang Analog design retargeting by design knowledge reuse and circuit synthesis. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22B. P. Harish, Navakanta Bhat, Mahesh B. Patil On a Generalized Framework for Modeling the Effects of Process Variations on Circuit Delay Performance Using Response Surface Methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Zhe Zhang, MaoLiu Lin, QingHua Xu, JiuBin Tan Accurate and robust estimation of phase error and its uncertainty of 50 GHz bandwidth sampling circuit. Search on Bibsonomy Sci. China Ser. F Inf. Sci. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF phase error, sampling oscilloscope, uncertainty
22Seonyoung Lee, Kyeongsoon Cho Design of Transform and Quantization Circuit for Multi-Standard Integrated Video Decoder. Search on Bibsonomy SiPS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Xuewen Xia, Yuanxiang Li 0001, Weiqin Ying, Lei Chen Automated Design Approach for Analog Circuit Using Genetic Algorithm. Search on Bibsonomy International Conference on Computational Science (4) The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Evolutionary algorithms, Evolving hardware, Electronic Design Automation
22Hsiang-Hui Huang, Ching-Hwa Cheng Using Clock-Vdd to Test and Diagnose the Power-Switch in Power-Gating Circuit. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
22Qikai Chen, Saibal Mukhopadhyay, Aditya Bansal, Kaushik Roy 0001 Circuit-aware device design methodology for nanometer technologies: a case study for low power SRAM design. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 37106 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license