The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase delay-testing (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1980-1993 (16) 1994-1996 (16) 1997-1998 (21) 1999-2000 (18) 2001-2002 (22) 2003-2004 (25) 2005-2006 (32) 2007 (18) 2008 (15) 2009-2011 (17) 2012-2015 (16) 2016-2020 (13)
Publication types (Num. hits)
article(76) inproceedings(150) phdthesis(3)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 254 occurrences of 125 keywords

Results
Found 229 publication records. Showing 229 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
57Huawei Li 0001, Zhongcheng Li, Yinghua Min Reduction of Number of Paths to be Tested in Delay Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF linearly independent, analytical delay model, delay testing, path sensitization
52Huawei Li 0001, Zhongcheng Li, Yinghua Min Delay Testing with Double Observations. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF linearly independent, test generation, observation, delay testing
45Rene David, S. Rahal, J. L. Rainard Some relationships between delay testing and stuck-open testing in CMOS circuits. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF stuck-open, combinational circuits, CMOS, Delay testing, robust test
39Eun Sei Park, M. Ray Mercer An efficient delay test generation system for combinational logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
39Eun Sei Park, M. Ray Mercer An Efficient Delay Test Generation System for Combinational Logic Circuits. Search on Bibsonomy DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
39Yuan-Chieh Hsu, Sandeep K. Gupta 0001 A Simulator for At-Speed Robust Testing of Path Delay Faults in Combinational Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1996 DBLP  DOI  BibTeX  RDF robust path delay testing, at-speed delay testing, fault simulation, Delay testing
37Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Random Testing, Delay Testing, Bridging Faults
37Yung-Chieh Lin, Feng Lu 0002, Kai Yang, Kwang-Ting Cheng Constraint extraction for pseudo-functional scan-based delay testing. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
35Jean Marc Gallière, Michel Renovell, Florence Azaïs, Yves Bertrand Delay Testing Viability of Gate Oxide Short Defects. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF gate oxide short (GOS), VLSI, delay testing, defect
35Seiichiro Tani, Mitsuo Teramoto, Tomoo Fukazawa, Kazuyoshi Matsuhiro Efficient Path Selection for Delay Testing Based on Path Clustering. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF clustering, delay testing, delay fault, path delay
34Premachandran R. Menon, Weifeng Xu, Russell Tessier Design-specific path delay testing in lookup-table-based FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Ming-Chien Tsai, Ching-Hwa Cheng A full-synthesizable high-precision built-in delay time measurement circuit. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
34Ming-Chien Tsai, Ching-Hwa Cheng, Chiou-Mao Yang An All-Digital High-Precision Built-In Delay Time Measurement Circuit. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
33Jing-Jia Liou, Kwang-Ting Cheng, Deb Aditya Mukherjee Path Selection for Delay Testing of Deep Sub-Micron Devices Using Statistical Performance Sensitivity Analysis. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay defects, delay fault modeling, delay testing, critical paths, statistical timing analysis
33Patrick Girard 0001, Christian Landrault, V. Moreda, Serge Pravossoudovitch An optimized BIST test pattern generator for delay testing. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF BIST test pattern generator, robust delay fault coverage, single input change test sequence, compatible inputs, optimization, delays, built-in self-test, fault detection, delay testing, test length, area overhead, circuit under test
32Srimat T. Chakradhar, Mahesh A. Iyer, Vishwani D. Agrawal Energy models for delay testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
30Angela Krstic, Kwang-Ting Cheng Resynthesis of Combinational Circuits for Path Count Reduction and for Path Delay Fault Testability. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF resynthesis for testability, timing defects, delay testing, path delay faults, VLSI testing
30Mehdi Baradaran Tahoori, Subhasish Mitra Application-Dependent Delay Testing of FPGAs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
29Ananta K. Majhi, Vishwani D. Agrawal Tutorial: Delay Fault Models and Coverage. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF delay fault models, gate delay model, line delay model, path delay model, segment delay model, transition model, Delay test
27Angela Krstic, Kwang-Ting Cheng Generation of high quality tests for functional sensitizable paths. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high quality tests, functional sensitizable paths, long paths, untestable paths, faulty conditions, test derivation, logic testing, delays, timing, integrated circuit testing, combinational circuits, combinational circuits, automatic testing, delay testing, test vectors, timing information
27Angela Krstic, Yi-Min Jiang, Kwang-Ting Cheng Pattern generation for delay testing and dynamic timing analysisconsidering power-supply noise effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
27Chih-Ang Chen, Sandeep K. Gupta Design of efficient BIST test pattern generators for delay testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
27Antonis M. Paschalis, Dimitris Gizopoulos, Nikolaos Gaitanis Concurrent Delay Testing in Totally Self-Checking Systems. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF concurrent on-line detection, duplication systems, path delay faults, totally self-checking circuits, error indicators
25Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams Enhancing test efficiency for delay fault testing using multiple-clocked schemes. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF transition fault model, delay testing, statistical timing analysis
25Gefu Xu, Adit D. Singh Delay Test Scan Flip-Flop: DFT for High Coverage Delay Testing. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Hiroyuki Nakamura, Akio Shirokane, Yoshihito Nishizaki, Anis Uzzaman, Vivek Chickermane, Brion L. Keller, Tsutomu Ube, Yoshihiko Terauchi Low Cost Delay Testing of Nanometer SoCs Using On-Chip Clocking and Test Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24S. Cremoux, Christophe Fagot, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch A new test pattern generation method for delay fault testing. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF test pattern generation method, directed random generation technique, random test vectors, test sequence length, delay fault coverage, learning (artificial intelligence), VLSI, logic testing, delays, built-in self test, integrated circuit testing, BIST, automatic testing, delay fault testing, digital integrated circuits, learning tool, high speed circuits
24Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001 Low V_D_D vs. Delay: Is it Really a Good Correlation Metric for Nanometer ICs?. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Wei-Cheng Lai, Angela Krstic, Kwang-Ting Cheng On Testing the Path Delay Faults of a Microprocessor Using its Instruction Set. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Microprocessor self-testing, Path delay fault classification, Functionally testable paths, Functional tests, Delay fault testing
23Yen-Lin Peng, Jing-Jia Liou, Chih-Tsun Huang, Cheng-Wen Wu An Application-Independent Delay Testing Methodology for Island-Style FPGA. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF segment delay fault, FPGA, delay testing, path delay fault
23Subhashis Majumder, Michael L. Bushnell, Vishwani D. Agrawal Path Delay Testing: Variable-Clock Versus Rated-Clock. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF rated-clock testing, slow-clock testing, Delay testing, path delay faults, sequential circuit test
22Arnaud Virazel, René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF non-robust test, BIST, random testing, delay testing, robust test
22Kun Young Chung, Sandeep K. Gupta 0001 Structural Delay Testing of Latch-based High-speed Pipelines with Time Borrowing. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
22Joonhwan Yi, John P. Hayes High-level delay test generation for modular circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Egor S. Sogomonyan, Adit D. Singh, Michael Gössel A Multi-Mode Scannable Memory Element for High Test Application Efficiency and Delay Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF design-for-testability, BIST, scan design
20Michel Renovell, Jean Marc Gallière, Florence Azaïs, Yves Bertrand Delay Testing of MOS Transistor with Gate Oxide Short. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Pamela S. Gillis, Francis Woytowich, Andrew Ferko, Kevin McCauley Low Overhead Delay Testing of ASICS. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
20Xiaowei Li 0001, Paul Y. S. Cheung High-Level BIST Synthesis for Delay Testing. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Haihua Yan, Adit D. Singh Evaluating the Effectiveness of Detecting Delay Defects in the Slack Interval: A Simulation Study. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19C. P. Ravikumar, Nitin Agrawal 0003, Parul Agarwal Hierarchical Delay Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF delay test generation, path selection, hierarchical testing
19Philipp V. Panitz, Markus Olbrich, Erich Barke, Markus Bühler, Jürgen Koehl Considering possible opens in non-tree topology wire delay calculation. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2008 DBLP  DOI  BibTeX  RDF non-tree topologies, yield, static timing analysis, delay analysis
19Jing-Jia Liou, Li-C. Wang, Kwang-Ting Cheng, Jennifer Dworak, M. Ray Mercer, Rohit Kapur, Thomas W. Williams Analysis of Delay Test Effectiveness with a Multiple-Clock Scheme. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Eric W. MacDonald, Nur A. Touba Delay testing of partially depleted silicon-on-insulator (PD-SOI) circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17I-De Huang, Sandeep K. Gupta 0001 Selection of Paths for Delay Testing. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Mehdi Baradaran Tahoori, Subhasish Mitra Interconnect Delay Testing of Designs on Programmable Logic Devices. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
17Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal The optimistic update theorem for path delay testing in sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF test generation, Fault simulation, timing analysis, path delay faults
17Huawei Li 0001, Yue Zhang, Xiaowei Li 0001 Delay Test Pattern Generation Considering Crosstalk-Induced Effects. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Benjamin N. Lee, Li-C. Wang, Magdy S. Abadir Refined statistical static timing analysis through. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF delay correlations, Bayesian learning, statistical timing
17Sebastià A. Bota, M. Rosales, José Luis Rosselló, Jaume Segura 0001, Ali Keshavarzi Within Die Thermal Gradient Impact on Clock-Skew: A New Type of Delay-Fault Mechanism. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Toshiaki Satoh, Hiroyuki Yotsuyanagi, Masaki Hashizume On Delay Elements in Boundary Scan Cells for Delay Testing of 3D IC Interconnection. Search on Bibsonomy 3DIC The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
15Prasanjeet Das, Sandeep K. Gupta 0001 Extending pre-silicon delay models for post-silicon tasks: Validation, diagnosis, delay testing, and speed binning. Search on Bibsonomy VTS The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
15Richard Putman, Rahul Gawde Enhanced Timing-Based Transition Delay Testing for Small Delay Defects. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15Seiji Kajihara, Satoshi Ohtake, Tomokazu Yoneda Delay Testing: Improving Test Quality and Avoiding Over-testing. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
15Hong Shin Jun, Sung Soo Chung, Sang H. Baeg Removing JTAG Bottlenecks in System Interconnect Test. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
15Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Pseudofunctional testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
15René David, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Hardware Generation of Random Single Input Change Test Sequences. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF single input change, generation, hardware, random testing, test sequence
15Uwe Sparmann, Holger Müller, Sudhakar M. Reddy Minimal Delay Test Sets for Unate Gate Networks. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
14Sying-Jyan Wang, Tung-Hua Yeh High-level test synthesis for delay fault testability. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Bram Kruseman, Ananta K. Majhi, Guido Gronthoud On Performance Testing with Path Delay Patterns. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
14Seongmoon Wang, Xiao Liu, Srimat T. Chakradhar Hybrid Delay Scan: A Low Hardware Overhead Scan-Based Delay Test Technique for High Fault Coverage and Compact Test Sets. Search on Bibsonomy DATE The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
14Michinobu Nakao, Yoshikazu Kiyoshige, Kazumi Hatayama, Yasuo Sato, Takaharu Nagumo Test Generation for Multiple-Threshold Gate-Delay Fault Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
13Mohammad Tehranipoor, Kenneth M. Butler Power Supply Noise: A Survey on Effects and Research. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF power supply noise (PSN), transition delay fault testing, timing analysis, design and test, path delay testing
13Mohammad Hosseinabady, Shervin Sharifi, Fabrizio Lombardi, Zainalabedin Navabi A Selective Trigger Scan Architecture for VLSI Testing. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Delay Testing, Test Compression, Test Application Time, Scan Test, Test Data Volume, Test Power
13Kyriakos Christou, Maria K. Michael, Spyros Tragoudas On the Use of ZBDDs for Implicit and Compact Critical Path Delay Fault Test Generation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Zero-suppressed binary decision diagram, Irredundant sum-of-products, Critical path delay faults, Compact test generation, Delay testing, Path delay faults
13Paolo Bernardi, Kyriakos Christou, Michelangelo Grosso, Maria K. Michael, Ernesto Sánchez 0001, Matteo Sonza Reorda Exploiting MOEA to Automatically Geneate Test Programs for Path-Delay Faults in Microprocessors. Search on Bibsonomy EvoWorkshops The full citation details ... 2008 DBLP  DOI  BibTeX  RDF microprocessor, BDD, MOEA, path-delay testing
13Vishal J. Mehta, Malgorzata Marek-Sadowska, Kun-Han Tsai, Janusz Rajski Timing-Aware Multiple-Delay-Fault Diagnosis. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF defect-diagnosis, diagnosis, ATPG, DFT, delay-testing
13I-De Huang, Yi-Shing Chang, Sandeep K. Gupta 0001, Sreejit Chakravarty An Industrial Case Study of Sticky Path-Delay Faults. Search on Bibsonomy VTS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF sticky paths, timing false paths, path reprioritization, delay testing, test quality
13Donghwi Lee, Erik H. Volkerink, Intaik Park, Jeff Rearick Empirical Validation of Yield Recovery Using Idle-Cycle Insertion. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF false failure, ATPG, delay testing, functional test, structural test, IR drop, yield loss
13Kwang-Ting (Tim) Cheng Design and CAD for Nanotechnologies. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF process diagnosis, CAD, redundancy, CMOS, delay testing, SEU
13Nisar Ahmed, Mohammad Tehranipoor Improving Transition Delay Test Using a Hybrid Method. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF launch-off-capture, delay testing, test quality
13Nisar Ahmed, Mohammad Tehranipoor, Vinay Jayaram Timing-based delay test for screening small delay defects. Search on Bibsonomy DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test generation, delay testing
13Seiji Kajihara, Masayasu Fukunaga, Xiaoqing Wen, Toshiyuki Maeda, Shuji Hamada, Yasuo Sato Path delay test compaction with process variation tolerance. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF process variation, delay testing, path delay fault, test compaction
13Ilia Polian, Bernd Becker 0001 Scalable Delay Fault BIST for Use with Low-Cost ATE. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF thermal constraints, BIST, SAT, delay testing, IP cores, symbolic methods
13Xiao Liu 0010, Michael S. Hsiao, Sreejit Chakravarty, Paul J. Thadikaran Efficient Transition Fault ATPG Algorithms Based on Stuck-At Test Vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF stuck-at vectors, delay testing, transition fault
13Ilia Polian, Bernd Becker 0001 Multiple Scan Chain Design for Two-Pattern Testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF scan chain insertion, delay testing, design for test, core-based test
13Yun Shao 0002, Sudhakar M. Reddy, Irith Pomeranz, Seiji Kajihara On Selecting Testable Paths in Scan Designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF testable path, delay testing, delay fault, path delay fault, path selection
13Spyros Tragoudas, N. Denny Path delay fault testing using test points. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF path delay fault simulation (coverage), testing digital circuits, design for testability, Automatic test pattern generation, delay testing, path delay fault testing
13Dimitrios Kagaris, Spyros Tragoudas Using a WLFSR to Embed Test Pattern Pairs in Minimum Time. Search on Bibsonomy J. Electron. Test. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF weighted random LFSRs, two-pattern test sets, built-in self-test, delay testing
13Maria K. Michael, Spyros Tragoudas ATPG tools for delay faults at the functional level. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF functional-level testing, path delay fault simulation (coverage), testing digital circuits, Automatic test pattern generation, Binary Decision Diagrams, delay testing, Boolean Satisfiability, path delay fault testing
13Ilia Polian, Bernd Becker 0001 Stop & Go BIST. Search on Bibsonomy IOLTW The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Thermal constraints, BIST, Delay testing, IP cores
13Arun Krishnamachary, Jacob A. Abraham Test generation for resistive opens in CMOS. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2002 DBLP  DOI  BibTeX  RDF resistive opens, delay testing, defect detection
13Nandu Tendolkar, Rajesh Raina, Rick Woltenberg, Xijiang Lin, Bruce Swanson, Greg Aldrich Novel Techniques for Achieving High At-Speed Transition Fault Test Coverage for Motorola's Microprocessors Based on PowerPC(tm) Instruction Set Architecture. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF Microprocessor, Delay Testing
13Sandip Kundu, Sujit T. Zachariah, Sanjay Sengupta, Rajesh Galivanche Test Challenges in Nanometer Technologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF circuit marginality testing, process marginality testing, defect based testing, path delay testing
13Ilia Polian, Bernd Becker 0001 Multiple Scan Chain Design for Two-Pattern Testing. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Scan chain insertion, Delay testing, Design for test, Core-based test
13Tek Jau Tan, Chung-Len Lee Socillator Test: A Delay Test Scheme for Embedded ICs in the Boundary-Scan Environment. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF Oscillation test, Delay testing, System test, SOC testing, Embedded testing
13Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell, Carlos G. Parodi False-Path Removal Using Delay Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design for testability, fault simulation, Delay testing, path delay faults, synthesis for testability, redundancy removal
13Emil Gizdarski Detection of Delay Faults in Memory Address Decoders. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-In Self-Test, delay testing, stuck-open faults, RAM testing
13Chao-Wen Tseng, Edward J. McCluskey, Xiaoping Shao, David M. Wu Cold Delay Defect Screening. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Manufacturing quality, Reliability, Delay Testing
13Nandu Tendolkar, Robert F. Molyneaux, Carol Pyron, Rajesh Raina At-Speed Testing of Delay Faults for Motorola's MPC7400, a PowerPC(tm) Microprocessor. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF delay testing, at-speed testing, microprocessor testing
13Soumitra Bose, Prathima Agrawal, Vishwani D. Agrawal Deriving Logic Systems for Path Delay Test Generation. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1998 DBLP  DOI  BibTeX  RDF simulation, timing analysis, Delay testing, multivalued logic, path delay faults, digital test
13Zhongcheng Li, Yinghua Min, Robert K. Brayton A New Low-Cost Method for Identifying Untestable Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF non-robustly untestable, Delay testing, path delay fault, implication
13Thomas M. Storey, Bruce McWilliam A Test Methodology for High Performance MCMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF LOCST, AC BIST, delay testing, boundary scan, LSSD, MCM testing
13Wangning Long, Shiyuan Yang, Zhongcheng Li, Yinghua Min Memory Efficient ATPG for Path Delay Faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Testing, Automatic Test Generation, IC Testing, Path Sensitization
13Kazumi Hatayama, Mitsuji Ikeda, Masahiro Takakura, Satoshi Uchiyama, Yoriyuki Sakamoto Application of a Design for Delay Testability Approach to High Speed Logic LSIs. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Delay Test Generation, Design for Testability, Delay Testing
13Mukund Sivaraman, Andrzej J. Strojwas Delay fault coverage: a realistic metric and an estimation technique for distributed path delay faults. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF fabrication process, coverage, delay testing, delay fault, path sensitization
13Ankan K. Pramanick, Sudhakar M. Reddy Efficient multiple path propagating tests for delay faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay testing, path delay faults, robust tests, test efficiency
13Eun Sei Park, M. Ray Mercer, Thomas W. Williams The Total Delay Fault Model and Statistical Delay Fault Coverage. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF delay fault model, delay fault coverage, statistical delay fault coverage, defect level model, logic testing, delay testing, delay faults
13Jean Davies Lesser, John J. Shedletsky An Experimental Delay Test Generator for LSI Logic. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1980 DBLP  DOI  BibTeX  RDF test generation, Delay testing
12Zhen Chen, Boxue Yin, Dong Xiang Conflict driven scan chain configuration for high transition fault coverage and low test power. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
12Kee Sup Kim, Subhasish Mitra, Paul G. Ryan Delay Defect Characteristics and Testing Strategies. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 229 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license