The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase self-test (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1973-1983 (15) 1984-1986 (25) 1987-1988 (27) 1989-1990 (45) 1991 (27) 1992 (23) 1993 (21) 1994 (30) 1995 (64) 1996 (54) 1997 (53) 1998 (60) 1999 (50) 2000 (87) 2001 (71) 2002 (63) 2003 (84) 2004 (88) 2005 (82) 2006 (78) 2007 (77) 2008 (69) 2009 (43) 2010 (43) 2011 (21) 2012 (25) 2013 (15) 2014 (23) 2015 (22) 2016 (21) 2017-2018 (36) 2019 (22) 2020 (15) 2021 (20) 2022 (20) 2023 (19) 2024 (1)
Publication types (Num. hits)
article(548) book(2) incollection(1) inproceedings(972) phdthesis(16)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 2021 occurrences of 633 keywords

Results
Found 1539 publication records. Showing 1539 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
20Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, George Xenoulis Software-Based Self-Testing of Embedded Processors. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2005 DBLP  DOI  BibTeX  RDF processor self-testing, Embedded processors, software-based self-testing, low-cost testing
20Christian Galke, Marcus Grabow, Heinrich Theodor Vierhaus Perspectives of Combining on-line and off-line Test Technology for Dependable Systems on a Chip. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
20Noriyoshi Itazaki, Fumiro Matsuki, Yasuyuki Matsumoto, Kozo Kinoshita Built-In Self-Test for Multiple CLB Faults of a LUT Type FPGA. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
20Benoit Provost, Edgar Sánchez-Sinencio, Anna Maria Brosa A Unified Approach for a Time-Domain Built-In Self-Test Technique and Fault Detection. Search on Bibsonomy Great Lakes Symposium on VLSI The full citation details ... 1998 DBLP  DOI  BibTeX  RDF NGCC amplifier, BIST, Fault-coverage, Analog testing, Time-domain
20Richard Illman, Stephen Clarke Built-In Self-Test of the Macrolan Chip. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
20Sadeka Ali, Martin Margala A 2.4-GHz auto-calibration frequency synthesizer with on-chip built-in-self-test solution. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
20Jin-Fu Li 0001, Jen-Chieh Yeh, Rei-Fu Huang, Cheng-Wen Wu, Peir-Yuan Tsai, Archer Hsu, Eugene Chow A Built-In Self-Repair Scheme for Semiconductor Memories with 2-D Redundancy. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF built-in redundancy-analysis, built-in self-test, memory testing, semiconductor memory, built-in self-repair
20Dariusz Badura, Andrzej Hlawiczka Low Cost Bist for Edac Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF CBIST, EDAC, error aliasing, fault coverage, self-test
20Virendra Singh, Michiko Inoue, Kewal K. Saluja, Hideo Fujiwara Instruction-Based Self-Testing of Delay Faults in Pipelined Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Shantanu Dutt, Vinay Verma, Vishal Suthar Built-in-Self-Test of FPGAs With Provable Diagnosabilities and High Diagnostic Coverage With Application to Online Testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Hsin-Wen Ting, Bin-Da Liu, Soon-Jyh Chang A Time Domain Built-In Self-Test Methodology for SNDR and ENOB Tests of Analog-to-Digital Converters. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Kazuko Kambe, Michiko Inoue, Hideo Fujiwara Efficient Template Generation for Instruction-Based Self-Test of Processor Cores. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Tiago R. Balen, Antonio Andrade Jr., Florence Azaïs, Marcelo Lubaszewski, Michel Renovell An Approach to the Built-In Self-Test of Field Programmable Analog Arrays. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Gaetano Palumbo, Giuseppe Introvaia, Vincenzo Mastrocola, Promod Kumar, Francesco Pipiton Built-In Self Test for Low Cost Testing of a 60 MHz Synchronous Flash Memory. Search on Bibsonomy IOLTW The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Srivaths Ravi 0001, Ganesh Lakshminarayana, Niraj K. Jha TAO-BIST: A framework for testability analysis and optimization forbuilt-in self-test of RTL circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
19Katarzyna Radecka, Janusz Rajski, Jerzy Tyszer Arithmetic built-in self-test for DSP cores. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
19Eleanor Wu, Paul W. Rutkowski PEST: a tool for implementing pseudo-exhaustive self test. Search on Bibsonomy EURO-DAC The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
19Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir C-testable bit parallel multipliers over GF(2m). Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF testing, cryptography, built-in self-test, digital signal processing, polynomials, VLSI design, stuck-at fault, TPG, fault, multiplier, Galois field, error control code, C-testable
19Ioannis Voyiatzis Embedding test patterns into Low-Power BIST sequences. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF Test set embedding, Gray sequences, Low power sequences, Built-In Self Test
19Scott Davidson 0001 BIST the hard way. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF N-detection, scan BIST, built-in self-test, logic, IC, mixed-signal BIST
19Li Chen, Sujit Dey Software-based diagnosis for processors. Search on Bibsonomy DAC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF microprocessor, self-test, instruction, diagnostics
19Mehrdad Nourani, Joan Carletta, Christos A. Papachristou Integrated test of interacting controllers and datapaths. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF built-in self-test, register transfer level, synthesis-for-testability
19Lijian Li, Yinghua Min An efficient BIST design using LFSR-ROM architecture. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF read-only storage, BIST design, LFSR-ROM architecture, built-in self test, logic design, automatic test pattern generation, test pattern generation, integrated circuit design, shift registers, hardware overhead, ROM, integrated circuit economics
19Alvernon Walker, Parag K. Lala A Transition Based BIST Approach for Passive Analog Circuits. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-in Self Test, Analog Test, Analog BIST, Mixed-Signal BIST
19Joel A. Jorgenson, Russell J. Wagner Design-For-Test in a Multiple Substrate Multichip Module. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Multichip Module (MCM) Test, Known-Good Die (KGD), Ball Grid Array (BGA), Built-In-Self-Test (BIST), boundary-scan
19Albrecht P. Stroele BIST Pattern Generators Using Addition and Subtraction Operations. Search on Bibsonomy J. Electron. Test. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF built-in self-test, adder, accumulator, pattern generator, subtracter
19Nilanjan Mukherjee 0001, Janusz Rajski, Jerzy Tyszer On testable multipliers for fixed-width data path architectures. Search on Bibsonomy ICCAD The full citation details ... 1995 DBLP  DOI  BibTeX  RDF Fixed-Width Architectures, Built-in Self -Test, High-level Synthesis, Design for Testability
19Geetani Edirisooriya, John P. Robinson Cyclic code weight spectra and BIST aliasing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF compaction aliasing probability, linear code spectra, multiple input signature analysis, Built-in self-test
19Gert Jervan, Elmet Orasson, Helena Kruus, Raimund Ubar Hybrid BIST Optimization Using Reseeding and Test Set Compaction. Search on Bibsonomy DSD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Mohammad Tehranipoor, Reza M. Rad Test and recovery for fine-grained nanoscale architectures. Search on Bibsonomy FPGA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults. Search on Bibsonomy IOLTW The full citation details ... 2000 DBLP  DOI  BibTeX  RDF BIST, Random Testing, Delay Testing, Bridging Faults
19Albrecht P. Stroele, Hans-Joachim Wunderlich Hardware-optimal test register insertion. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Indradeep Ghosh, Niraj K. Jha, Sudipta Bhawmik A BIST Scheme for RTL Controller-Data Paths Based on Symbolic Testability Analysis. Search on Bibsonomy DAC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
19Vishnupriya Shivakumar, Chinnaiyan Senthilpari, Zubaida Binti Yusoff A Low-Power and Area-Efficient Design of a Weighted Pseudorandom Test-Pattern Generator for a Test-Per-Scan Built-in Self-Test Architecture. Search on Bibsonomy IEEE Access The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Wang-Dauh Tseng, Lung-Jen Lee, Rung-Bin Lin Deterministic built-in self-test using multiple linear feedback shift registers for test power and test volume reduction. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2010 DBLP  DOI  BibTeX  RDF
19Hisayoshi Hanai, Shinji Yamada, Hisaya Mori, Eisaku Yamashita, Teruhiko Funakura Built-out Self-Test (BOST) for Analog Circuits in a System LSI: Test Solution to Reduce Test Costs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
19Dariusz Badura Efficiency of Self-Test Path as a Test Pattern Generator and Test Response Compactor. Search on Bibsonomy Fehlertolerierende Rechensysteme The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
19Miltiadis Hatzimihail, Mihalis Psarakis, George Xenoulis, Dimitris Gizopoulos, Antonis M. Paschalis Software-Based Self-Test for Pipelined Processors: A Case Study. Search on Bibsonomy DFT The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Claudia Kretzschmar, Christian Galke, Heinrich Theodor Vierhaus A Hierarchical Self Test Scheme for SoCs. Search on Bibsonomy IOLTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
19Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF LI-BIST, crosstalk test, BIST, SoC test, low-power test
19Krishna Sekar, Sujit Dey LI-BIST: A Low-Cost Self-Test Scheme for SoC Logic Cores and Interconnects. Search on Bibsonomy VTS The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
19Laurent Bréhélin, Olivier Gascuel, Gilles Caraux Hidden Markov Models with Patterns to Learn Boolean Vector Sequences and Application to the Built-In Self-Test for Integrated Circuits. Search on Bibsonomy IEEE Trans. Pattern Anal. Mach. Intell. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Daniel Mange, André Stauffer, Gianluca Tempesti Embryonics: A Macroscopic View of the Cellular Architecture. Search on Bibsonomy ICES The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
18David Fick, Andrew DeOrio, Jin Hu, Valeria Bertacco, David T. Blaauw, Dennis Sylvester Vicis: a reliable network for unreliable silicon. Search on Bibsonomy DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF hard faults, fault tolerance, built-in-self-test, Network-on-Chip, reconfiguration, torus, N-modular redundancy
18Jack R. Smith, Tian Xia, Charles E. Stroud An Automated BIST Architecture for Testing and Diagnosing FPGA Interconnect Faults. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF stuck-at faults, bridging faults, delay faults
18Nektarios Kranitis, George Xenoulis, Antonis M. Paschalis, Dimitris Gizopoulos, Yervant Zorian Application and Analysis of RT-Level Software-Based Self-Testing for Embedded Processor Cores. Search on Bibsonomy ITC The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
18Jun Zhou 0009, Hans-Joachim Wunderlich Software-based self-test of processors under power constraints. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF test program generation, low power test, processor test
18Dayu Yang, Foster F. Dai, Charles E. Stroud Built-in self-test for automatic analog frequency response measurement. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Charles H.-P. Wen, Li-C. Wang, Kwang-Ting Cheng, Kai Yang, Wei-Ting Liu, Ji-Jan Chen On A Software-Based Self-Test Methodology and Its Application. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
18Ashish Giani, Shuo Sheng, Michael S. Hsiao, Vishwani D. Agrawal Novel Spectral Methods for Built-In Self-Test in a System-on-a-Chip Environment. Search on Bibsonomy VTS The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Khoan Truong A Simple Built-In Self Test For Dual Ported SRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
18Keiho Akiyama, Kewal K. Saluja A method of reducing aliasing in a built-in self-test environment. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Prawat Nagvajara, Mark G. Karpovsky, Lev B. Levitin Pseudorandom Testing for Boundary-Scan Design with Built-In Self-Test. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF
18Miloslaw Chodacki Genetic algorithm as self-test path and circular self-test path design method. Search on Bibsonomy Vietnam. J. Comput. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
18Miloslaw Chodacki Genetic Algorithm for Self-Test Path and Circular Self-Test Path Design. Search on Bibsonomy ACIIDS (2) The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
18Hongzhi Li A BIST (Built-In Self-Test) strategy for mixed-signal integrated circuits (BIST (Built-in Self-Test) Strategie für integrierte Mixed-Signal Schaltungen) (PDF / PS) Search on Bibsonomy 2004   RDF
17Jee-Youl Ryu, Bruce C. Kim Low-Cost Testing of 5 GHz Low Noise Amplifiers Using New RF BIST Circuit. Search on Bibsonomy J. Electron. Test. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF output DC voltage, built-in self-test, low noise amplifier, RFIC
17Miron Abramovici, Charles E. Stroud BIST-Based Delay-Fault Testing in FPGAs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Field Programmable Gate Arrays, Built-In Self-Test, delay faults
17Nektarios Kranitis, Antonis M. Paschalis, Dimitris Gizopoulos, Mihalis Psarakis, Yervant Zorian An Effective Deterministic BIST Scheme for Shifter/Accumulator Pairs in Datapaths. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF datapath test, shifter, Built-in self-test, accumulator, arithmetic-logic unit, processor test
17A. Schubert, Walter Anheier On Random Pattern Testability of Cryptographic VLSI Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF testing of cores, test-ready intellectual property, built-in self-test, pseudorandom testing
17O. A. Petlin, Stephen B. Furber Built-In Self-Testing of Micropipelines. Search on Bibsonomy ASYNC The full citation details ... 1997 DBLP  DOI  BibTeX  RDF Built-in self-test, Design for test, Asynchronous design, Micropipelines
17Can Ökmen, Martin Keim, Rolf Krieger, Bernd Becker 0001 On Optimizing BIST-Architecture by Using OBDD-based Approaches and Genetic Algorithms. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF input probability, weighted random pattern generation (WRPG), genetic algorithm, Build in self test (BIST)
17Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
17Geetani Edirisooriya, John P. Robinson Aliasing properties of circular MISRs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF multiple input signature analysis, test data compaction, built-in self-test, Aliasing probability
17Yervant Zorian, Vinod K. Agarwal Optimizing error masking in BIST by output data modification. Search on Bibsonomy J. Electron. Test. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF error masking, improving fault coverage, output data compaction, Built-in self-test
17Haralampos-G. D. Stratigopoulos, Salvador Mir, Ahcène Bounceur Evaluation of Analog/RF Test Measurements at the Design Stage. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
17Jing Li 0073, Aditya Bansal, Swaroop Ghosh, Kaushik Roy 0001 An alternate design paradigm for low-power, low-cost, testable hybrid systems using scaled LTPS TFTs. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Low-temperature polycrystalline silicon (LTPS), grain boundary (GB), inherent variation, thin-film transistor (TFT), generic, reconfigurable, hybrid system, BIST, DFT, 3D integration
17Wei Wang, Yu Hu 0001, Yinhe Han 0001, Xiaowei Li 0001, You-Sheng Zhang Leakage Current Optimization Techniques During Test Based on Don't Care Bits Assignment. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF don’t care bits, minimum leakage vector, leakage power, leakage current
17Yung-Chieh Lin, Feng Lu 0002, Kwang-Ting Cheng Pseudofunctional testing. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Livier Lizarraga, Salvador Mir, Gilles Sicard, Ahcène Bounceur Study of a BIST Technique for CMOS Active Pixel Sensors. Search on Bibsonomy VLSI-SoC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Peter Muhmenthaler New on-Chip DFT and ATE Features for Efficient Embedded Memory Test. Search on Bibsonomy MTDT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
17Dong Xiang, Ming-Jing Chen, Jia-Guang Sun, Hideo Fujiwara Improving test effectiveness of scan-based BIST by scan chain partitioning. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
17Chien-In Henry Chen, Kiran George Automated Synthesis of Configurable Two-dimensional Linear Feedback Shifter Registers for Random/Embedded Test Patterns. Search on Bibsonomy ISQED The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
17Gert Jervan, Zebo Peng, Raimund Ubar, Helena Kruus A Hybrid BIST Architecture and Its Optimization for SoC Testing. Search on Bibsonomy ISQED The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
17Shivakumar Swaminathan, Krishnendu Chakrabarty On Using Twisted-Ring Counters for Test Set Embedding in BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF non-intrusive testing, scalable BIST, test-per-clock, reseeding, deterministic BIST
17Indradeep Ghosh, Anand Raghunathan, Niraj K. Jha Design for hierarchical testability of RTL circuits obtained by behavioral synthesis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
17Charles E. Stroud, Ahmed E. Barbour Testability and test generation for majority voting fault-tolerant circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF majority voting circuits, fault-tolerance, Design for testability, test pattern generation, multiple stuck-at faults
17Mohammad A. Naal, Emmanuel Simeu, Salvador Mir On-Line Testable Decimation Filter Design for AMS Systems. Search on Bibsonomy IOLTS The full citation details ... 2003 DBLP  DOI  BibTeX  RDF non-concurrent, semi-concurrent, SigmaDelta, decimation filters, analogue BIST, on-line testing
17Gerd Krüger 0001 Automatic generation of self-test programs - a new feature of the MIMOLA design system. Search on Bibsonomy DAC The full citation details ... 1986 DBLP  DOI  BibTeX  RDF
16Ramesh Karri, Nilanjan Mukherjee Versatile BIST: an integrated approach to on-line/off-line BIST. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
16Xiaowei Li 0001, Guihai Yan, Cheng Liu 0008 Built-in Fault-Tolerant Computing Paradigm for Resilient Large-Scale Chip Design - A Self-Test, Self-Diagnosis, and Self-Repair-Based Approach Search on Bibsonomy 2023   DOI  RDF
16Xiaowei Li 0001, Guihai Yan, Jing Ye 0001, Ying Wang 0001 Fault tolerance on-chip: a reliable computing paradigm using self-test, self-diagnosis, and self-repair (3S) approach. Search on Bibsonomy Sci. China Inf. Sci. The full citation details ... 2018 DBLP  DOI  BibTeX  RDF
16Timothy J. Dysart, Peter M. Kogge Probabilistic Analysis of a Molecular Quantum-Dot Cellular Automata Adder. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
16Vishal Suthar, Shantanu Dutt High-diagnosability online built-in self-test of FPGAs via iterative bootstrapping. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF built-in self-tester (BISTer), roving tester (ROTE), FPGAs, functional testing, on-line testing, diagnosability
16Lampros Dermentzoglou, Y. Tsiatouhas, Angela Arapoyanni A Built-In Self-Test Scheme for Differential Ring Oscillators. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Dilip K. Bhavsar A Built-in Self-Test Method for Write-only Content Addressable Memories. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
16Paolo Bernardi, Maurizio Rebaudengo, Matteo Sonza Reorda Using Infrastructure IPs to Support SW-Based Self-Test of Processor Cores. Search on Bibsonomy MTV The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Charles E. Stroud, John Sunwoo, Srinivas M. Garimella, Jonathan Harris Built-In Self-Test for System-on-Chip: A Case Study. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
16Andreas Lechner, J. Ferguson, Andrew Richardson 0001, B. Hermes A Digital Partial Built-In Self-Test for a High Performance Automatic Gain Control Circuit . Search on Bibsonomy DATE The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
16Abhijit Chatterjee, Naveena Nagi Design for Testability and Built-In Self-Test of Mixed-Signal Circuits: A Tutorial. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
16Peter D. Hortensius, Robert D. McLeod, Werner Pries, D. Michael Miller, Howard C. Card Cellular automata-based pseudorandom number generators for built-in self-test. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1989 DBLP  DOI  BibTeX  RDF
16Ronald Stevens, Brittany Parsons, Tariq M. King A self-testing autonomic container. Search on Bibsonomy ACM Southeast Regional Conference The full citation details ... 2007 DBLP  DOI  BibTeX  RDF testing, validation, autonomic computing
16Shalini Ghosh, Eric W. MacDonald, Sugato Basu, Nur A. Touba Low-power weighted pseudo-random BIST using special scan cells. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2004 DBLP  DOI  BibTeX  RDF weighted pseudo-random testing, low power, built-in self-test
16Stefan Gerstendörfer, Hans-Joachim Wunderlich Minimized Power Consumption for Scan-Based BIST. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF build-in self-test (BIST), power consumption
16Jayabrata Ghosh-Dastidar, Nur A. Touba A Rapid and Scalable Diagnosis Scheme for BIST Environments with a Large Number of Scan Chains. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF built-in self-test Scan Chains, Design-for-Diagnosis, Multi-Input Signature Register, Design-for-Testability, LFSR, Integrated Circuits, Integrated Circuits, Digital Testing, Design-for-Debug
16David Ashen, Fred J. Meyer, Nohpill Park, Fabrizio Lombardi Testing of programmable logic devices (PLD) with faulty resources. Search on Bibsonomy DFT The full citation details ... 1997 DBLP  DOI  BibTeX  RDF faulty resources, routing resources, built-in self-test schemes, parity chain, one-dimensional arrays, active routing devices, interconnection channels, input/output lines, logic testing, fault model, fault coverage, multiple faults, programmable logic devices, programmable logic devices
16Dipanwita Roy Chowdhury, Indranil Sengupta 0001, Parimal Pal Chaudhuri A class of two-dimensional cellular automata and their applications in random pattern testing. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF cellular automata (CA), pseudo-random patterns, 2-D CA, Built-in self-test
16Reza M. Rad, Mohammad Tehranipoor SCT: A novel approach for testing and configuring nanoscale devices. Search on Bibsonomy ACM J. Emerg. Technol. Comput. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Configuration and testing, reconfigurable nanoscale devices, fault tolerance, crossbar, nanowire
16Aman Kokrady, C. P. Ravikumar, Nitin Chandrachoodan Memory Yield Improvement through Multiple Test Sequences and Application-Aware Fault Models. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
16Chung-Ho Chen, Chih-Kai Wei, Tai-Hua Lu, Hsun-Wei Gao Software-Based Self-Testing With Multiple-Level Abstractions for Soft Processor Cores. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
Displaying result #201 - #300 of 1539 (100 per page; Change: )
Pages: [<<][1][2][3][4][5][6][7][8][9][10][11][12][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license