The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase Stuck-at-fault (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1979-1988 (19) 1989-1992 (18) 1993-1995 (37) 1996-1997 (28) 1998 (25) 1999 (16) 2000 (21) 2001 (19) 2002-2003 (33) 2004 (16) 2005-2006 (34) 2007 (16) 2008 (19) 2009-2014 (17) 2015-2022 (17) 2023 (5)
Publication types (Num. hits)
article(116) inproceedings(224)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 616 occurrences of 297 keywords

Results
Found 340 publication records. Showing 340 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
102Mihalis Psarakis, Dimitris Gizopoulos, Antonis M. Paschalis Test Generation and Fault Simulation for Cell Fault Model using Stuck-at Fault Model based Test Tools. Search on Bibsonomy J. Electron. Test. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF cell fault model (CFM), fault simulation, test pattern generation, stuck-at fault model
72Sumit Ghosh, Tapan J. Chakraborty On behavior fault modeling for digital designs. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF fault coverage correlation, fault model, fault simulation, stuck-at fault, behavior model
68Irith Pomeranz, Sudhakar M. Reddy Improving the stuck-at fault coverage of functional test sequences by using limited-scan operations. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
66Irith Pomeranz, Sudhakar M. Reddy Test sequences to achieve high defect coverage for synchronous sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
65Jennifer Dworak, Michael R. Grimaila, Brad Cobb, Ting-Chi Wang, Li-C. Wang, M. Ray Mercer On the superiority of DO-RE-ME/MPG-D over stuck-at-based defective part level prediction. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF DO-RE-ME technique, MPG-D model, defective part level prediction, benchmark circuit simulations, stuck-at fault detection tests, bridging surrogate detection, stuck-at fault coverage, predictor accuracy, industrial circuit, test pattern sequences, integrated circuit testing, automatic test pattern generation, ATPG, fault simulation, logic circuit, circuit simulation, integrated logic circuits, correlation coefficient
62Wuudiann Ke, Premachandran R. Menon Multifault testability of delay-testable circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF delay-testable circuits, multifault testability, path-delay-fault testability, multiple stuck-at-fault testability, multilevel combinational circuits, robust path-delay-fault test set, logic testing, delays, combinational circuits, multivalued logic circuits
61Baris Arslan, Alex Orailoglu Extracting Precise Diagnosis of Bridging Faults from Stuck-at Fault Information. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
55Sandip Kundu, Sujit T. Zachariah, Sanjay Sengupta, Rajesh Galivanche Test Challenges in Nanometer Technologies. Search on Bibsonomy J. Electron. Test. The full citation details ... 2001 DBLP  DOI  BibTeX  RDF circuit marginality testing, process marginality testing, defect based testing, path delay testing
53Sreejit Chakravarty, Yiming Gong Voting model based diagnosis of bridging faults in combinational circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF MOS logic circuits, voting model based diagnosis, fault list, stuck-at fault dictionary, fault dropping rules, time efficiency, fault diagnosis, logic testing, combinational circuits, combinational circuits, bridging faults, diagnosis algorithm, space efficiency, majority logic, compact data structure
53Bing-Chuan Bai, Augusli Kifli, Chien-Mo James Li, Kun-Cheng Wu Fault modeling and testing of retention flip-flops in low power designs. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
53Meine van der Meulen Model Checking the Design of an Unrestricted, Stuck-at Fault Tolerant, Asynchronous Sequential Circuit Using SMV. Search on Bibsonomy FMCAD The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
51Jenny Leung, Jozsef Dudas, Glenn H. Chapman, Israel Koren, Zahava Koren Quantitative Analysis of In-Field Defects in Image Sensor Arrays. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
50Satoshi Ohtake, Kouhei Ohtani, Hideo Fujiwara A Method of Test Generation fo Path Delay Faults Using Stuck-at Fault Test Generation Algorithms. Search on Bibsonomy DATE The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
49Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Multiple Faults: Modeling, Simulation and Test. Search on Bibsonomy ASP-DAC/VLSI Design The full citation details ... 2002 DBLP  DOI  BibTeX  RDF ATPG Modeling, Fault Modeling, Multiple Fault
49Joseph L. A. Hughes Multiple fault detection using single fault test sets. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
48Hisashi Kondo, Kwang-Ting Cheng Driving toward higher IDDQ test quality for sequential circuits: a generalized fault model and its ATPG. Search on Bibsonomy ICCAD The full citation details ... 1996 DBLP  DOI  BibTeX  RDF Selective IDDQ, Pseudo Stuck-at Fault, Sequential ATPG, Vector compaction, Test, Fault model, IDDQ, Leakage Fault
47Yong Chang Kim, Vishwani D. Agrawal, Kewal K. Saluja Combinational automatic test pattern generation for acyclic sequential circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
47Tapan J. Chakraborty, Vishwani D. Agrawal Simulation of at-speed tests for stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF stuck-at fault detectability, at-speed test simulation, delayed signal transitions, timing hazards, fault simulation method, delay-hazard robust test coverage, timing considerations, high performance circuits, fault diagnosis, logic testing, delays, timing, integrated circuit testing, circuit analysis computing, hazards and race conditions, path delays, high speed test
46T. Seiyama, Hiroshi Takahashi, Yoshinobu Higami, Kazuo Yamazaki, Yuzo Takamatsu On the fault diagnosis in the presence of unknown fault models using pass/fail information. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
46Yuichi Sato, Hiroshi Takahashi, Yoshinobu Higami, Yuzo Takamatsu Failure Analysis of Open Faults by Using Detecting/Un-detecting Information on Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
44Joseph L. A. Hughes, Edward J. McCluskey Multiple Stuck-At Fault Coverage of Single Stuck-At Fault Test Sets. Search on Bibsonomy ITC The full citation details ... 1986 DBLP  BibTeX  RDF
43Irith Pomeranz, Sudhakar M. Reddy A Bridging Fault Model Where Undetectable Faults Imply Logic Redundancy. Search on Bibsonomy DATE The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
43Marwan A. Gharaybeh, Vishwani D. Agrawal, Michael L. Bushnell, Carlos G. Parodi False-Path Removal Using Delay Fault Simulation. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF design for testability, fault simulation, Delay testing, path delay faults, synthesis for testability, redundancy removal
43Wen-Ben Jone, Patrick H. Madden Multiple fault testing using minimal single fault test set for fanout-free circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
43Yoshinobu Higami, Yuzo Takamatsu, Kozo Kinoshita Test sequence compaction for sequential circuits with reset states. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF reset states, test compaction method, single stuck-at fault assumption, unremovable vectors, fault-dropping fault simulation, nonfault-dropping fault simulation, reset signal, test subsequences, logic testing, fault detection, sequential circuits, sequential circuits, automatic test pattern generation, fault simulation, vectors, logic simulation, logic simulation, benchmark circuits, test vectors, signal detection, test sequence compaction
42Robert C. Aitken Extending the Pseudo-Stuck-At Fault Model to Provide Complete IDDQ Coverage. Search on Bibsonomy VTS The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
42Srikanth Venkataraman, Ismed Hartanto, W. Kent Fuchs Dynamic diagnosis of sequential circuits based on stuck-at faults. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF dynamic diagnosis, stuck-at fault simulation, cause-effect analysis, effect-cause analysis, error propagation back-trace, fault diagnosis, logic testing, sequential circuits, synchronous sequential circuit, matching algorithm
42Tapan J. Chakraborty, Vishwani D. Agrawal Design for high-speed testability of stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF design for high-speed testability, stuck-at fault detection, signal transition, timing hazard, multivalue algebra, dh-robust test, sequential feedback, reconvergent fanout, cycle-free sequential circuit, fault diagnosis, logic testing, delays, design for testability, logic design, sequential circuits, partial scan, test generation algorithm, critical path delay
42Ananta K. Majhi, James Jacob, Lalit M. Patnaik, Vishwani D. Agrawal On test coverage of path delay faults. Search on Bibsonomy VLSI Design The full citation details ... 1996 DBLP  DOI  BibTeX  RDF two-pass test generation method, falling transition, line delay test, longest sensitizable path, decreasing length, redundant stuck-at fault, computational complexity, fault diagnosis, logic testing, delays, redundancy, combinational circuits, fault simulation, circuit analysis computing, test coverage, path delay faults, benchmark circuits, coverage metric, combinational logic circuits, longest paths
41Subhashis Majumder, Bhargab B. Bhattacharya, Vishwani D. Agrawal, Michael L. Bushnell A Complete Characterization of Path Delay Faults through Stuck-at Faults. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
41Niraj K. Jha Strong fault-secure and strongly self-checking domino-CMOS implementations of totally self-checking circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
41Xiao Sun 0002, Carmie Hull Functional Verification Coverage vs. Physical Stuck-at Fault Coverage. Search on Bibsonomy DFT The full citation details ... 1998 DBLP  DOI  BibTeX  RDF functional property, stuck-at fault coverage, verification coverage, UIO, verification, validation, ATPG, FSM, signature analysis, test application time
40Piotr R. Sidorowicz Modeling and Testing Transistor Faults in Content-Addressable Memories. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
40Gang-Min Park, Hoon Chang An extended march test algorithm for embedded memories. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF efficient test algorithm, BIST architecture, neighborhood pattern sensitive fault, background data, word-oriented memory testing, extended march test algorithm, stuck-at fault, transition fault, embedded memories, integrated memory circuits, coupling fault
40C. P. Ravikumar, Gurjeet S. Saund, Nidhi Agrawal A STAFAN-like functional testability measure for register-level circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF functional testability measure, register-level circuits, testability analysis programs, SCOAP, gate-level digital circuits, testability-driven synthesis, busses, F-STAFAN, Sun/SPARC workstation, performance evaluation, fault diagnosis, logic testing, high-level synthesis, statistical analysis, design for testability, fault simulation, fault coverage, circuit analysis computing, adders, multipliers, multiplexers, digital circuit, shift registers, logic gates, reliability theory, stuck-at fault model
40Suriyaprakash Natarajan, Srinivas Patil, Sreejit Chakravarty Path Delay Fault Simulation on Large Industrial Designs. Search on Bibsonomy VTS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
40Karim Arabi, Hassan Ihs, Christian Dufaza, Bozena Kaminska Digital oscillation-test method for delay and stuck-at fault testing of digital circuits. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
39Alvin Jee, F. Joel Ferguson A methodolgy for characterizing cell testability. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF cell testability, stuck-at fault coverage, IC quality, physical design for testability, metric, integrated circuit design, integrated circuit design, DPM, manufacturing defects
39Irith Pomeranz, Sudhakar M. Reddy On n-detection test sequences for synchronous sequential circuits343. Search on Bibsonomy VTS The full citation details ... 1997 DBLP  DOI  BibTeX  RDF n-detection test sequences, stuck-at fault detection, test generation procedures, logic testing, fault simulation, synchronous sequential circuits, defect coverages
39Valentin Gherman, Hans-Joachim Wunderlich, Jürgen Schlöffel, Michael Garbers Deterministic Logic BIST for Transition Fault Testing. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Deterministic logic BIST, delay test
39Avik Chakraborty Synthesis of Reversible Circuits for Testing with Universal Test Set and C-Testability of Reversible Iterative Logic Arrays. Search on Bibsonomy VLSI Design The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Fault models, Testability, Design for test, Iterative logic arrays, Universal test sets, Reversible circuits
38Niraj K. Jha Testing for multiple faults in domino-CMOS logic circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1988 DBLP  DOI  BibTeX  RDF
38Jan Torben Weinkopf, Klaus Harbich, Erich Barke Parsifal: A Generic and Configurable Fault Emulation Environment with Non-Classical Fault Models. Search on Bibsonomy FPL The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
38Yiming Gong, Sreejit Chakravarty Locating bridging faults using dynamically computed stuck-at fault dictionaries. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
37Jing-Yang Jou An effective BIST design for PLA. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF BIST design, deterministic test pattern generator, cross point, AND array, fault detection capability, contact fault model, logic testing, built-in self test, integrated circuit testing, combinational circuits, automatic testing, programmable logic arrays, PLA, CMOS logic circuits, characteristic polynomial, stuck-at fault model, multiple input signature register
37Li-C. Wang, M. Ray Mercer, Sophia W. Kao, Thomas W. Williams On the decline of testing efficiency as fault coverage approaches 100%. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF single stuck-at fault model, ISCAS benchmark circuits, nontarget defects, fault diagnosis, logic testing, integrated circuit testing, automatic testing, fault coverage, test pattern generation, manufacturing process, test quality, production testing, testing efficiency, circuit sizes
36Ugur Kalay, Douglas V. Hall, Marek A. Perkowski A Minimal Universal Test Set for Self-Test of EXOR-Sum-of-Products Circuits. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2000 DBLP  DOI  BibTeX  RDF AND-EXOR realizations, Reed-Muller expressions, single stuck-at fault model, easily testable combinational networks, self-testable circuits, Built-in Self-Test (BIST), test pattern generation, Design for Testing (DFT), Universal test set
36Seiji Kajihara, Tsutomu Sasao On the Adders with Minimum Tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF ripple carry adder, minimum test set, test generation, stuck-at fault, carry look-ahead adders
36Audhild Vaaje Theorems for Fault Collapsing in Combinational Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Boolean function, combinational circuit, monotonic function, fault collapsing
36Michel Renovell, Jean-Michel Portal, Joan Figueras, Yervant Zorian SRAM-Based FPGAs: A Fault Model for the Configurable Logig Modules. Search on Bibsonomy FPL The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
35Leendert M. Huisman Diagnosing arbitrary defects in logic designs using single location at a time (SLAT). Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
35Vishwani D. Agrawal, Michael L. Bushnell, Qing Lin Redundancy Identification Using Transitive Closure. Search on Bibsonomy Asian Test Symposium The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
35Anand Raghunathan, Pranav Ashar, Sharad Malik Test generation for cyclic combinational circuits. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF cyclic combinational circuits, bus structures, single-stuck-at fault test pattern, test generation problem, program RAM, fault diagnosis, logic testing, integrated circuit testing, network topology, combinational circuits, automatic testing, fault coverage, test pattern generators, formal analysis, data paths, testing algorithm, combinational logic circuits, untestable faults
33Irith Pomeranz, Sudhakar M. Reddy The Effect of Filling the Unspecified Values of a Test Set on the Test Set Quality. Search on Bibsonomy VLSI Design The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
33Lei Fang 0002, Michael S. Hsiao Bilateral Testing of Nano-scale Fault-Tolerant Circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Bilateral fault model, Fault-tolerant, ATPG, Nanoelectronics
33Lei Fang 0002, Michael S. Hsiao Bilateral Testing of Nano-scale Fault-tolerant Circuits. Search on Bibsonomy DFT The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
33Nilanjan Mukherjee 0001 Improving Test Quality Using Test Data Compression. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
33Hafizur Rahaman 0001, Jimson Mathew, Dhiraj K. Pradhan, Abusaleh M. Jabir Derivation of Reduced Test Vectors for Bit-Parallel Multipliers over GF(2^m). Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
32Shweta Chary, Michael L. Bushnell Analog Macromodeling for Combined Resistive Vias, Resistive Bridges, and Capacitive Crosstalk Delay Faults. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
32Pradip A. Thaker, Vishwani D. Agrawal, Mona E. Zaghloul A test evaluation technique for VLSI circuits using register-transfer level fault modeling. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
32Fernando M. Gonçalves, Marcelino B. Santos, Isabel C. Teixeira, João Paulo Teixeira 0001 Self-Checking and Fault Tolerance Quality Assessment Using Fault Sampling. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
32Vladimir Hahanov, Anna Babich Test Generation and Fault Simulation Methods on the Basis of Cubic Algebra for Digital Devices. Search on Bibsonomy DSD The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
32Yin-He Su, Ching-Hwa Cheng, Shih-Chieh Chang Novel techniques for improving testability analysis. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF closed-form formulation, implication reasoning, TAIR, tree-structured circuit, logic testing, logic testing, controllability, controllability, built-in self test, automatic test pattern generation, BIST, observability, observability, stuck-at fault, shift registers, testability analysis, test patterns
32Karen Panetta Lentz, Elias S. Manolakos, Edward C. Czeck On the simulation of Multiple Stuck-at Faults using Multiple Domain Concurrent and Comparative Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF multiple stuck-at fault simulation, multiple domain simulation, comparative simulation, MDCCS, discrete event concurrent simulation, CPU time efficiency, digital logic fault simulation, fault diagnosis, logic testing, discrete event simulation, circuit analysis computing, fault location, concurrent engineering
32Mitrajit Chatterjee, Dhiraj K. Pradhan A novel pattern generator for near-perfect fault-coverage. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF near-perfect fault-coverage, onchip BIST, GLFSR, logic mapping technique, weighted pattern technique, logic testing, built-in self test, integrated circuit testing, design methodology, combinational circuits, automatic testing, integrated logic circuits, shift registers, combinational logic, digital integrated circuits, pattern generator, single stuck-at fault
31Yung-Chih Chen, Chun-Yao Wang An Implicit Approach to Minimizing Range-Equivalent Circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
30Wieslaw Kuzmicz, Witold A. Pleskacz, Jaan Raik, Raimund Ubar Defect-Oriented Fault Simulation and Test Generation in Digital Circuits. Search on Bibsonomy ISQED The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
29Ilker Hamzaoglu, Janak H. Patel Reducing Test Application Time for Built-in-Self-Test Test Pattern Generators. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Built-in-Self-Test, Test Generation, Combinational Circuits, Test Application Time, Stuck-at Fault Model
29Karen Panetta Lentz, Elias S. Manolakos, Edward C. Czeck A multiple domain environment for efficient simulation. Search on Bibsonomy Annual Simulation Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF multiple domain environment, efficient simulation, concurrent simulation methodology, digital logic experimentation, multiple experiment environment, independent experiments, parallel hardware, digital logic simulators, signature paths, multiple experiment algorithms, function list, dynamic interactions, exhaustive simulation problem, Multiple Stuck-at Fault simulations, logic CAD, coverage analysis, digital logic
29Chunduri Rama Mohan, Srobona Mitra, Partha Pal Chaudhuri On Incorporation of BIST for the Synthesis of Easily and Fully Testable Controllers. Search on Bibsonomy VLSI Design The full citation details ... 1997 DBLP  DOI  BibTeX  RDF fully testable controllers, testing scheme, Cadence, target library, built-in self test, cellular automata, VHDL, ATPGs, BIST, testability, FSMs, partial scan, VERILOG, area overhead, RTL designs, SYNERGY, full scan, stuck-at fault model
29Fidel Muradali, Janusz Rajski A self-driven test structure for pseudorandom testing of non-scan sequential circuits. Search on Bibsonomy VTS The full citation details ... 1996 DBLP  DOI  BibTeX  RDF self-driven test structure, primary inputs, nonscan sequential circuits, test point structure, parallel pseudorandom test patterns, test mode flag, stuck-at fault coverage, ISCAS-89 benchmarks, logic testing, built-in self test, integrated circuit testing, design for testability, sequential circuits, BIST, automatic testing, circuit under test
29Debesh K. Das, Bhargab B. Bhattacharya Testable design of non-scan sequential circuits using extra logic. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, sequentially redundant faults, multiple stuck-at-fault model, augmented logic, performance evaluation, logic testing, redundancy, test generation, design for testability, logic design, sequential circuits, logic synthesis, synchronous sequential circuits, benchmark circuits, testable design
29Fadi Y. Busaba, Parag K. Lala A graph coloring based approach for self-checking logic circuit design. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF logic circuit design, bidirectional error, residue weights assignments, output lines, errors identification, graph theory, logic testing, integrated circuit testing, logic design, error detection, error detection, automatic testing, graph coloring, fault location, integrated logic circuits, graph colouring, self-checking, residue codes, single stuck-at fault
29C. P. Ravikumar, Hemant Joshi HISCOAP: a hierarchical testability analysis tool. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF HISCOAP, hierarchical testability analysis tool, SCOAP measure, gate-level netlist, SCOAP expression diagrams, VLSI, logic testing, controllability, controllability, sequential circuits, sequential circuits, combinational circuits, combinational circuits, observability, observability, circuit analysis computing, integrated logic circuits, VLSI circuits, functional modules, stuck at fault model
29Piet Engelke, Bernd Becker 0001, Michel Renovell, Jürgen Schlöffel, Bettina Braitling, Ilia Polian SUPERB: Simulator utilizing parallel evaluation of resistive bridges. Search on Bibsonomy ACM Trans. Design Autom. Electr. Syst. The full citation details ... 2009 DBLP  DOI  BibTeX  RDF PPSFP, SPPFP, fault mapping, Resistive bridging faults, bridging fault simulation
29Chin-Lung Su, Rei-Fu Huang, Cheng-Wen Wu, Chien-Chung Hung, Ming-Jer Kao, Yeong-Jar Chang, Wen Ching Wu MRAM Defect Analysis and Fault Modeli. Search on Bibsonomy ITC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
29Ramesh C. Tekumalla, Scott Davidson 0001 On Identifying Indistinguishable Path Delay Faults and Improving Diagnosis. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
29Prathima Agrawal, Vishwani D. Agrawal, Sharad C. Seth Generating Tests for Delay Faults in Nonscan Circuits. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
28Hamidreza Hashempour, Fabrizio Lombardi Circuit-level modeling and detection of metallic carbon nanotube defects in carbon nanotube FETs. Search on Bibsonomy DATE The full citation details ... 2007 DBLP  DOI  BibTeX  RDF CNTFET, fault detection, nanotechnology, carbon nanotube, defect modeling, CNT
28Ketan N. Patel, John P. Hayes, Igor L. Markov Fault testing for reversible circuits. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
28Xiaoling Sun, Jian Xu, Pieter M. Trouborst Testing Carry Logic Modules of SRAM-based FPGAs. Search on Bibsonomy MTDT The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
28Tapan J. Chakraborty, Vishwani D. Agrawal Robust testing for stuck-at faults. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF logic circuit testing, d-robust tests, fault diagnosis, logic testing, delays, sequential circuits, sequential circuits, fault models, combinational circuits, combinational circuit, robust testing, single stuck-at faults, circuit models
27Marco Ottavi, Hamidreza Hashempour, Vamsi Vankamamidi, Faizal Karim, Konrad Walus, André Ivanov On the Error Effects of Random Clock Shifts in Quantum-Dot Cellular Automata Circuits. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27Gian Carlo Cardarilli, Marco Ottavi, Salvatore Pontarelli, Marco Re, Adelio Salsano Fault Localization, Error Correction, and Graceful Degradation in Radix 2 Signed Digit-Based Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2006 DBLP  DOI  BibTeX  RDF Fault tolerance, error checking, high-speed arithmetic
27Jong O. Kim, Parag K. Lala, Young Gun Kim, Heung-Soo Kim Fault Analysis of the Multiple Valued Logic Using Spectral Method. Search on Bibsonomy ISMVL The full citation details ... 2000 DBLP  DOI  BibTeX  RDF spectral domain, bridging fault, multiple valued logic, fault analysis
27João Paulo Teixeira 0001, Isabel C. Teixeira, Carlos F. Beltrán Almeida, Fernando M. Gonçalves, Júlio Gonçalves A methodology for testability enhancement at layout level. Search on Bibsonomy J. Electron. Test. The full citation details ... 1991 DBLP  DOI  BibTeX  RDF physical design rules for testability, simulation, fault modeling, testability analysis
27Hyein Shin, Myeonggu Kang, Lee-Sup Kim Fault-Free: A Framework for Analysis and Mitigation of Stuck-at-Fault on Realistic ReRAM-Based DNN Accelerators. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
27Lorena Anghel, Anna Bernasconi 0001, Valentina Ciriani, Luca Frontini, Gabriella Trucco, Elena I. Vatajelu Fault Mitigation of Switching Lattices under the Stuck-At-Fault Model. Search on Bibsonomy LATS The full citation details ... 2019 DBLP  DOI  BibTeX  RDF
27Jan Schat On the relationship between stuck-at fault coverage and transition fault coverage. Search on Bibsonomy DATE The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
27Kentaroh Katoh, Kazuteru Namba, Hideo Ito Two-Stage Stuck-at Fault Test Data Compression Using Scan Flip-Flops with Delay Fault Testability. Search on Bibsonomy IPSJ Trans. Syst. LSI Des. Methodol. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jan Schat Calculating the fault coverage for dual neighboring faults using single stuck-at fault patterns. Search on Bibsonomy DDECS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
27Jue Wu, Elizabeth M. Rudnick Bridge fault diagnosis using stuck-at fault simulation. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
27Janak H. Patel Stuck-at fault: a fault model for the next millennium. Search on Bibsonomy ITC The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
27Joseph L. A. Hughes, Edward J. McCluskey An Analysis of the Multiple Fault Detection Capabilities of Single Stuck-at Fault Test Sets. Search on Bibsonomy ITC The full citation details ... 1984 DBLP  BibTeX  RDF
26Irith Pomeranz, W. Kent Fuchs A Diagnostic Test Generation Procedure for Combinational Circuits Based on Test Elimination. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
26Elizabeth M. Rudnick, Vivek Chickermane, Prithviraj Banerjee, Janak H. Patel Sequential circuit testability enhancement using a nonscan approach. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1995 DBLP  DOI  BibTeX  RDF
26Weiwei Mao, Ravi K. Gulati Quietest: A methodology for selecting IDDQ test vectors. Search on Bibsonomy J. Electron. Test. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF weak faults, I DDQ testing, Leakage faults
25Zhigang Jiang, Sandeep K. Gupta 0001 Threshold testing: Covering bridging and other realistic faults. Search on Bibsonomy Asian Test Symposium The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
24Dimitris Gizopoulos, Mihalis Psarakis, Miltiadis Hatzimihail, Michail Maniatakos, Antonis M. Paschalis, Anand Raghunathan, Srivaths Ravi 0001 Systematic Software-Based Self-Test for Pipelined Processors. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
24Hafizur Rahaman 0001, Dipak Kumar Kole, Debesh Kumar Das, Bhargab B. Bhattacharya On the Detection of Missing-Gate Faults in Reversible Circuits by a Universal Test Set. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Missing-gate faults, quantum computing, reversible logic, testable design, universal test set
Displaying result #1 - #100 of 340 (100 per page; Change: )
Pages: [1][2][3][4][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license