The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for SRAMs with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1990-1997 (18) 1998-2000 (24) 2001 (17) 2002-2003 (20) 2004 (23) 2005 (23) 2006 (30) 2007 (23) 2008 (27) 2009-2010 (33) 2011 (26) 2012 (21) 2013 (17) 2014 (18) 2015 (18) 2016 (19) 2017-2018 (31) 2019-2020 (28) 2021 (21) 2022-2023 (10)
Publication types (Num. hits)
article(133) inproceedings(312) phdthesis(2)
Venues (Conferences, Journals, ...)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 164 occurrences of 110 keywords

Results
Found 447 publication records. Showing 447 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
89Baosheng Wang, Josh Yang, James Cicalo, André Ivanov, Yervant Zorian Reducing Embedded SRAM Test Time under Redundancy Constraints. Search on Bibsonomy VTS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Memory Test Time, Memory Redundancy, Memory testing, March Tests, Embedded SRAMs
82Baosheng Wang, Yuejian Wu, André Ivanov Designs for Reducing Test Time of Distributed Small Embedded SRAMs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF Distributed Small Embedded SRAMs, Data Retention Fault Test, Response Analysis, Test Time
77Baosheng Wang, Josh Yang, Yuejian Wu, André Ivanov A retention-aware test power model for embedded SRAM. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF data retention fault test, multiple embedded SRAMs, test power modeling, test scheduling
77John Woodfill, Brian Von Herzen Real-time stereo vision on the PARTS reconfigurable computer. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF powerful scalable reconfigurable computer, PARTS engine, real-time stereo vision, Xilinx 4025 FPGAs, partial torus, concurrent SRAM access, standard PCI card, stereo vision algorithm, stereo disparity computation, RISC-equivalent operations, 1 Mbyte, images, SRAMs, stereo image processing, personal computer, workstation, memory access
70Qiang Xu 0001, Baosheng Wang, F. Y. Young Retention-Aware Test Scheduling for BISTed Embedded SRAMs. Search on Bibsonomy ETS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
57Jae Chul Cha, Sandeep K. Gupta 0001 Characterization of granularity and redundancy for SRAMs for optimal yield-per-area. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
57Martin Margala Low Power SRAMs for Battery Operation. Search on Bibsonomy MTDT The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
57Lushan Liu, Pradeep Nagaraj, Shambhu J. Upadhyaya, Ramalingam Sridhar Defect Analysis and Defect Tolerant Design of Multi-port SRAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF Multi-port SRAMs, Defect/fault tolerant design, Defect analysis
57Said Hamdioui, Ad J. van de Goor An experimental analysis of spot defects in SRAMs: realistic fault models and tests. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF integrated circuit testing, fault models, fault coverage, SRAMs, functional fault models, SRAM chips, spot defects
51Kanad Chakraborty, Pinaki Mazumder Technology and layout-related testing of static random-access memories. Search on Bibsonomy J. Electron. Test. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF Array layout, cell technology, Gallium Arsenide (GaAs), high electron mobility transistor (HEMT) RAMs, I DD testing, I DDQ testing
44Tony Tae-Hyoung Kim, Jason Liu 0004, John Keane 0001, Chris H. Kim Circuit techniques for ultra-low power subthreshold SRAMs. Search on Bibsonomy ISCAS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
44Alfredo Benso, Alberto Bosio, Stefano Di Carlo, Giorgio Di Natale, Paolo Prinetto Automatic March Tests Generation for Multi-Port SRAMs. Search on Bibsonomy DELTA The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Baosheng Wang, Yuejian Wu, André Ivanov A Fast Diagnosis Scheme for Distributed Small Embedded SRAMs. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
44Xiaopeng Wang, Marco Ottavi, Fabrizio Lombardi Testing of Inter-Word Coupling Faults in Word-Oriented SRAMs. Search on Bibsonomy DFT The full citation details ... 2004 DBLP  DOI  BibTeX  RDF inter-word fault, testing, memory, detection, Coupling fault
44Baosheng Wang, Josh Yang, André Ivanov Reducing Test Time of Embedded SRAMs. Search on Bibsonomy MTDT The full citation details ... 2003 DBLP  DOI  BibTeX  RDF Embedded SRAM test, Inductive Fault Analysis, Memory Redundancy, March Test, Test Time
44Sandra Irobi, Zaid Al-Ars, Said Hamdioui Memory Test Optimization for Parasitic Bit Line Coupling in SRAMs. Search on Bibsonomy ETS The full citation details ... 2011 DBLP  DOI  BibTeX  RDF Parasitic Bit Line coupling, SRAMs, Memory tests
39Qing K. Zhu Memory Generation and Power Distribution In SOC. Search on Bibsonomy DSD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Fadi J. Kurdahi, Ahmed M. Eltawil, Young-Hwan Park, Rouwaida Kanj, Sani R. Nassif System-Level SRAM Yield Enhancement. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Srikanth Sundaram, Praveen Elakkumanan, Ramalingam Sridhar High Speed Robust Current Sense Amplifier for Nanoscale Memories: - A Winner Take All Approach. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Yi-Chih Chao, Ji-Kun Lin, Jar-Ferr Yang, Bin-Da Liu A High Throughput and Data Reuse Architecture for H.264/AVC Deblocking Filter. Search on Bibsonomy APCCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Rob Dekker, Frans P. M. Beenker, Loek Thijssen A realistic fault model and test algorithms for static random access memories. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1990 DBLP  DOI  BibTeX  RDF
38Baosheng Wang, Yuejian Wu, Josh Yang, André Ivanov, Yervant Zorian SRAM Retention Testing: Zero Incremental Time Integration with March Algorithms. Search on Bibsonomy VTS The full citation details ... 2005 DBLP  DOI  BibTeX  RDF Data Retention Faults, Zero-time DRF Testing, Opens, Embedded SRAMs
31Bing-Wei Huang, Jin-Fu Li 0001 Efficient diagnosis algorithms for drowsy SRAMs. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
31Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei, David M. Brooks Replacing 6T SRAMs with 3T1D DRAMs in the L1 Data Cache to Combat Process Variability. Search on Bibsonomy IEEE Micro The full citation details ... 2008 DBLP  DOI  BibTeX  RDF caches, process variation, variability, dynamic memory
31Shah M. Jahinuzzaman, Mohammad Sharifkhani, Manoj Sachdev Investigation of Process Impact on Soft Error Susceptibility of Nanometric SRAMs Using a Compact Critical Charge Model. Search on Bibsonomy ISQED The full citation details ... 2008 DBLP  DOI  BibTeX  RDF critical charge, process variation, Soft error, SRAM
31Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Dynamic Two-Cell Incorrect Read Fault Due to Resistive-Open Defects in the Sense Amplifiers of SRAMs. Search on Bibsonomy ETS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Franz X. Ruckerbauer, Georg Georgakos Soft Error Rates in 65nm SRAMs--Analysis of new Phenomena. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF NSER, ASER, multi-bit upset, soft errors and radiation, CMOS, SRAM, SEU
31Tino Heijmen Spread in Alpha-Particle-Induced Soft-Error Rate of 90-nm Embedded SRAMs. Search on Bibsonomy IOLTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Alexandre Ney, Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, Arnaud Virazel, Magali Bastian Un-Restored Destructive Write Faults Due to Resistive-Open Defects in the Write Driver of SRAMs. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Duk-Hyung Lee, Dong-Kone Kwak, Kyeong-Sik Min Comparative Study on SRAMs for Suppressing Both Oxide-Tunneling Leakage and Subthreshold Leakage in Sub-70-nm Leakage Dominant VLSIs. Search on Bibsonomy VLSI Design The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
31Hua Wang, Miguel Miranda, Antonis Papanikolaou, Francky Catthoor, Wim Dehaene Variable tapered pareto buffer design and implementation allowing run-time configuration for low-power embedded SRAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Prassanna Sithambaram, Alberto Macii, Enrico Macii Exploring the impact of architectural parameters on energy efficiency of application-specific block-enabled SRAMs. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF DBL, DWL, partitioning, embedded, memories, SRAM, application-specific
31Jader A. De Lima An active leakage-injection scheme applied to low-voltage SRAMs. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
31Bartomeu Alorda, M. Rosales, Jerry M. Soden, Charles F. Hawkins, Jaume Segura 0001 Charge Based Transient Current Testing (CBT) for Submicron CMOS SRAMs. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
31Said Hamdioui, Ad J. van de Goor, David Eastwick, Mike Rodgers Detecting Unique Faults in Multi-port SRAMs. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
31Khoan Truong A Simple Built-In Self Test For Dual Ported SRAMs. Search on Bibsonomy MTDT The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
31Samir Naik, Frank Agricola, Wojciech Maly Failure Analysis of High-Density CMOS SRAMs: Using Realistic Defect Modeling and I/Sub DDQ/ Testing. Search on Bibsonomy IEEE Des. Test Comput. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
26Houman Homayoun, Avesta Sasan, Aseem Gupta, Alexander V. Veidenbaum, Fadi J. Kurdahi, Nikil D. Dutt Multiple sleep modes leakage control in peripheral circuits of a all major SRAM-based processor units. Search on Bibsonomy Conf. Computing Frontiers The full citation details ... 2010 DBLP  DOI  BibTeX  RDF multiple sleep mode, peripheral circuits, sram memory, temperature reduction, leakage power
26Vita Pi-Ho Hu, Yu-Sheng Wu, Ming-Long Fan, Pin Su, Ching-Te Chuang Design and analysis of ultra-thin-body SOI based subthreshold SRAM. Search on Bibsonomy ISLPED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF poisson's equation, subthreshold SRAM, ultra-thin-body, soi, static noise margin
26Wei Lin 0010, Bin Liu 0001 Pipelined Parallel AC-Based Approach for Multi-String Matching. Search on Bibsonomy ICPADS The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Baker Mohammad, Stephen Bijansky, Adnan Aziz, Jacob A. Abraham Adaptive SRAM memory for low power and high yield. Search on Bibsonomy ICCD The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
26Jason Meyer, Fatih Kocan Sharing of SRAM Tables Among NPN-Equivalent LUTs in SRAM-Based FPGAs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Daniel Sánchez 0003, Luke Yen, Mark D. Hill, Karthikeyan Sankaralingam Implementing Signatures for Transactional Memory. Search on Bibsonomy MICRO The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
26Mohammed Sayed, Wael M. Badawy A Computational Memory Architecture for MPEG-4 Applications with Mobile Devices. Search on Bibsonomy J. VLSI Signal Process. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF computational memory, motion estimation, MPEG-4
26Hiroki Sugano, Hiroshi Tsutsui, Takahiko Masuzaki, Takao Onoye, Hiroyuki Ochi, Yukihiro Nakamura Efficient memory architecture for JPEG2000 entropy codec. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Mohammed Sayed, Ihab Amer, Wael M. Badawy Towards an H.264/AVC full encoder on chip: an efficient real-time VBSME ASIC chip. Search on Bibsonomy ISCAS The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
26Hua Wang, Miguel Miranda, Wim Dehaene, Francky Catthoor, Karen Maex Systematic Analysis of Energy and Delay Impact of Very Deep Submicron Process Variability Effects in Embedded SRAM Modules. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
26Mohammad H. Tehranipour, Seid Mehdi Fakhraie, Zainalabedin Navabi, M. R. Movahedin A Low-Cost At-Speed BIST Architecture for Embedded Processor and SRAM Cores. Search on Bibsonomy J. Electron. Test. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF BIST architecture, DSP/microprocessor, UTS-DSP, bit/word-oriented memory, memory testing, march test
26Shyue-Kung Lu A Novel Built-In Self-Repair Approach for Embedded RAMs. Search on Bibsonomy J. Electron. Test. The full citation details ... 2003 DBLP  DOI  BibTeX  RDF divided word line, fault tolerance, redundancy, low power design, embedded memory
26Yuki Yamagata, Kenichi Ichino, Masayuki Arai, Satoshi Fukumoto, Kazuhiko Iwasaki, Masayuki Satoh, Hiroyuki Itabashi, Takashi Murai, Nobuyuki Otsuka Implementation of Memory Tester Consisting of SRAM-Based Reconfigurable Cells. Search on Bibsonomy Asian Test Symposium The full citation details ... 2003 DBLP  DOI  BibTeX  RDF SRAM test, SRAM-based reconfigurable cell, memory tester, marching test
26Yong Liu 0023, Zhiqiang Gao, Xiangqing He A Flexible Embedded SRAM Compiler. Search on Bibsonomy DELTA The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
26Sadagopan Srinivasan, Vinodh Cuppu, Bruce L. Jacob Transparent data-memory organizations for digital signal processors. Search on Bibsonomy CASES The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
26Chen-Huan Chiang, Sandeep K. Gupta 0001 BIST TPG for SRAM cluster interconnect testing at board level. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SRAM cluster interconnect testing, BIST TPG, static random access memory, board-level interconnects, test pattern generation architecture, IEEE 1149.1 boundary scan architecture, prohibited conditions, testable SRAM cluster interconnect fault detection, logic testing, built-in self test, automatic test pattern generation, test pattern generation, boundary scan testing, integrated circuit interconnections, SRAM chips, printed circuit testing
26R. Dean Adams, Phil Shephard III Silicon-on-Insulator Technology Impacts on SRAM Testing. Search on Bibsonomy VTS The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fault modeling and simulation, Silicon On Insulator (SOI), Memory testing
26Norman Margolus An FPGA architecture for DRAM-based systolic computations. Search on Bibsonomy FCCM The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
25Mahesh Mamidipaka, Kamal S. Khouri, Nikil D. Dutt, Magdy S. Abadir Analytical models for leakage power estimation of memory array structures. Search on Bibsonomy CODES+ISSS The full citation details ... 2004 DBLP  DOI  BibTeX  RDF estimation, SRAMs, leakage power
25Puneet Sawhney, Haroon Rasheed Static RAM generators with automated characterization techniques for a 0.5 micron triple-metal embedded array. Search on Bibsonomy VLSI Design The full citation details ... 1995 DBLP  DOI  BibTeX  RDF static RAM generators, automatic generator characterisation tool, triple-metal embedded array, metallized SRAMs, single-port static RAMs, dual-port static RAMs, user-defined size, 0.5 micron, application specific integrated circuits, integrated circuit design, circuit CAD, aspect ratio, ASIC design, SRAM chips, SRAM chips, module generators
19Leonardo Heitich Brendler, Hervé Lapuyade, Yann Deval, Frédéric Darracq, Frédéric Fauquet, Ricardo Reis 0001, François Rivet A Proof-of-Concept of a Multiple-Cell Upsets Detection Method for SRAMs in Space Applications. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Balaji Narasimham, H. Luk, C. Paone, A-R. Montoya, T. Riehle, Mike Smith, Liming Tsau Scaling Trends and the Effect of Process Variations on the Soft Error Rate of advanced FinFET SRAMs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Kazusa Takami, Yuibi Gomi, Shin-ichiro Abe, Wang Liao, Seiya Manabe, Tetsuro Matsumoto, Masanori Hashimoto Characterizing SEU Cross Sections of 12- and 28-nm SRAMs for 6.0, 8.0, and 14.8 MeV Neutrons. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Nicholas J. Pieper, Yoni Xiong, Dennis R. Ball, J. Pasternak, Bharat L. Bhuva Effects of Collected Charge and Drain Area on SE Response of SRAMs at the 5-nm FinFET Node. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Gaurav Saraswat, Anuj Parashar Voltage Boosted Schmitt Trigger Sense Amplifier (VBSTSA) With Improved Offset And Reaction Time For High Speed SRAMs. Search on Bibsonomy VLSID The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Christina Dilopoulou, Yiorgos Tsiatouhas BTI Aging Influence and Mitigation in Neural Networks Oriented In-Memory Computing SRAMs. Search on Bibsonomy MOCAST The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
19Ismail Emir Yüksel, Behzad Salami 0001, Oguz Ergin, Osman Sabri Unsal, Adrián Cristal Kestelman MoRS: An Approximate Fault Modeling Framework for Reduced-Voltage SRAMs. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Ismail Emir Yüksel, Ataberk Olgun, Behzad Salami 0001, F. Nisa Bostanci, Yahya Can Tugrul, Abdullah Giray Yaglikçi, Nika Mansouri-Ghiasi, Onur Mutlu, Oguz Ergin TuRaN: True Random Number Generation Using Supply Voltage Underscaling in SRAMs. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Joshua Hovanes, Yadi Zhong, Ujjwal Guin Beware of Discarding Used SRAMs: Information is Stored Permanently. Search on Bibsonomy CoRR The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Mukesh Kumar Srivastav, Rimjhim, Roshan Mishra, Anuj Grover, Kedar Janardan Dhori, Harsh Rawat 3-Stage Pipelined Hierarchical SRAMs with Burst Mode Read in 65nm LSTP CMOS. Search on Bibsonomy ISCAS The full citation details ... 2022 DBLP  DOI  BibTeX  RDF
19Guilherme Cardoso Medeiros, Moritz Fieback, Lizhou Wu, Mottaqiallah Taouil, Letícia Maria Bolzani Poehls, Said Hamdioui Hard-to-Detect Fault Analysis in FinFET SRAMs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Yoshisato Yokoyama, Yuichiro Ishii, Koji Nii, Kazutoshi Kobayashi Cost-Effective Test Screening Method on 40-nm Embedded SRAMs for Low-Power MCUs. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Dhruv Patel 0002, Adam Neale, Derek Wright, Manoj Sachdev Body Biased Sense Amplifier With Auto-Offset Mitigation for Low-Voltage SRAMs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Shourya Gupta, Benton H. Calhoun Dynamic Write VMIN and Yield Estimation for Nanoscale SRAMs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Shourya Gupta, Benton H. Calhoun Dynamic Read VMIN and Yield Estimation for Nanoscale SRAMs. Search on Bibsonomy IEEE Trans. Circuits Syst. I Regul. Pap. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Monica Gupta, Kirti Gupta, Neeta Pandey Comparative Analysis of the Design Techniques for Low Leakage SRAMs at 32nm. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Thiago Copetti, Guilherme Cardoso Medeiros, Mottaqiallah Taouil, Said Hamdioui, Letícia Maria Bolzani Poehls, Tiago Roberto Balen Evaluation of Single Event Upset Susceptibility of FinFET-based SRAMs with Weak Resistive Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Mahmood Uddin Mohammed, Athiya Nizam, Liaquat Ali, Masud H. Chowdhury FinFET based SRAMs in Sub-10nm domain. Search on Bibsonomy Microelectron. J. The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Ismail Emir Yüksel, Behzad Salami 0001, Oguz Ergin, Osman Sabri Unsal, Adrián Cristal Kestelman MoRS: An Approximate Fault Modelling Framework for Reduced-Voltage SRAMs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Nunzio Mirabella, Michelangelo Grosso, Giovanna Franchino, Salvatore Rinaudo, Ioannis Deretzis, Antonino La Magna, Matteo Sonza Reorda Comparing different solutions for testing resistive defects in low-power SRAMs. Search on Bibsonomy CoRR The full citation details ... 2021 DBLP  BibTeX  RDF
19Balaji Narasimham, Vikas Chaudhary, Mike Smith, Liming Tsau, Dennis R. Ball, Bharat L. Bhuva Scaling Trends in the Soft Error Rate of SRAMs from Planar to 5-nm FinFET. Search on Bibsonomy IRPS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19G. Cardoso Medeiros, Moritz Fieback, Anteneh Gebregiorgis, Mottaqiallah Taouil, Leticia Bolzani Poehls, Said Hamdioui Detecting Random Read Faults to Reduce Test Escapes in FinFET SRAMs. Search on Bibsonomy ETS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Mukesh Kumar Srivastav, Rimjhim, Govind Soni, Umang Mittal, Rupali Tewari, Riya Yadav, Anuj Grover, Kedar Janardan Dhori, Harsh Rawat Charge Scavenging Gate Coupled Hierarchical Bitline Scheme for Ultra-Low Power SRAMs in 65nm LSTP CMOS. Search on Bibsonomy ICECS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Kailash Prasad, Aditya Biswas, Joycee Mekie Analysis of Word Line Shaping Techniques for In-Memory Computing in SRAMs. Search on Bibsonomy ICECS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Jean-Philippe Noel, M. Pezzin, Jean-Frédéric Christmann, Lorenzo Ciampolini, M. Le Coadou, M. Diallo, Florent Lepin, B. Blampey, Simone Bacles-Min, R. Wacquez, Bastien Giraud A Near-Instantaneous and Non-Invasive Erasure Design Technique to Protect Sensitive Data Stored in Secure SRAMs. Search on Bibsonomy ESSCIRC The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Sree Rama K. C. Saraswatula, Santosh Yachareni, Shidong Zhou, Narendra Kumar Pulipati, Joy Chen, Teja Masina Robust Adaptive Read Scheme for 7nm Configuration SRAMs. Search on Bibsonomy IOLTS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Nunzio Mirabella, Michelangelo Grosso, Giovanna Franchino, Salvatore Rinaudo, Ioannis Deretzis, Antonino La Magna, Matteo Sonza Reorda Comparing different solutions for testing resistive defects in low-power SRAMs. Search on Bibsonomy LATS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Mohammadreza Rezaei, Francisco J. Franco, Juan Carlos Fabero, Hortensia Mecha, Helmut Puchner, Juan Antonio Clemente Impact of DVS on Power Consumption and SEE Sensitivity of COTS Volatile SRAMs. Search on Bibsonomy LATS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Chang Cai, Luchang Ding, Ze He, Jian Yu, Jie Liu, Jiyuan Bai, Gengsheng Chen, Jun Yu 0010 Simulation of SEU Response of Advanced 20 nm FDSOI SRAMs. Search on Bibsonomy ASICON The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Vinod Kumar, Ram Murti Rawat Low Power Swing Restoration Circuit Reduce Threshold Voltages of SRAMs Improve Read and Write Operations. Search on Bibsonomy iSES The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19G. Cardoso Medeiros, Moritz Fieback, Thiago Santos Copetti, Anteneh Gebregiorgis, Mottaqiallah Taouil, Leticia B. Poehls, Said Hamdioui Improving the Detection of Undefined State Faults in FinFET SRAMs. Search on Bibsonomy DTIS The full citation details ... 2021 DBLP  DOI  BibTeX  RDF
19Raj Kumar Maity, Sayan Tripathi, Jagannath Samanta, Jaydeb Bhaumik Lower complexity error location detection block of adjacent error correcting decoder for SRAMs. Search on Bibsonomy IET Comput. Digit. Tech. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Michael A. Turi, José G. Delgado-Frias Effective Low Leakage 6T and 8T FinFET SRAMs: Using Cells With Reverse-Biased FinFETs, Near-Threshold Operation, and Power Gating. Search on Bibsonomy IEEE Trans. Circuits Syst. II Express Briefs The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Thiago Copetti, Tiago R. Balen, E. Brum, C. Aquistapace, Leticia Bolzani Poehls Comparing the Impact of Power Supply Voltage on CMOS- and FinFET-Based SRAMs in the Presence of Resistive Defects. Search on Bibsonomy J. Electron. Test. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Akhilesh Jaiswal 0001, Amogh Agrawal, Mustafa Fayez Ali, Saima Sharmin, Kaushik Roy 0001 i-SRAM: Interleaved Wordlines for Vector Boolean Operations Using SRAMs. Search on Bibsonomy IEEE Trans. Circuits Syst. The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Wang Liao, Kojiro Ito, Yukio Mitsuyama, Masanori Hashimoto Characterizing Energetic Dependence of Low-Energy Neutron-induced MCUs in 65 nm bulk SRAMs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Chang Cai, Tianqi Liu, Jie Liu 0032, Gengsheng Chen, Luchang Ding, Kai Zhao, Bingxu Ning, Mingjie Shen Large-tilt Heavy Ions Induced SEU in Multiple Radiation Hardened 22 nm FDSOI SRAMs. Search on Bibsonomy IRPS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Guilherme Cardoso Medeiros, Cemil Cem Gürsoy, Lizhou Wu, Moritz Fieback, Maksim Jenihhin, Mottaqiallah Taouil, Said Hamdioui A DFT Scheme to Improve Coverage of Hard-to-Detect Faults in FinFET SRAMs. Search on Bibsonomy DATE The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Jin-Fu Li 0001, Tsai-Ling Tsai, Chun-Lung Hsu, Chi-Tien Sun Testing of Configurable 8T SRAMs for In-Memory Computing. Search on Bibsonomy ATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Somayeh Hossein Zadeh, Trond Ytterdal, Snorre Aunet Comparative Study of Single, Regular and Flip Well Subthreshold SRAMs in 22 nm FDSOI Technology. Search on Bibsonomy NorCAS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Karim Ali 0004, Fei Li 0015, Sunny Y. H. Lua, Chun-Huat Heng Energy Efficient Reduced Area Overhead Spin-Orbit Torque Non-Volatile SRAMs. Search on Bibsonomy IECON The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Kumar Rahul, Santosh Yachareni Deterministic Algorithm to generate SEC-DED-DAEC H-Matrix for SRAMs in FPGAs for reliable space applications. Search on Bibsonomy ICCCS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
19Thiago Copetti, Guilherme Cardoso Medeiros, Mottaqiallah Taouil, Said Hamdioui, Leticia Bolzani Poehls, Tiago R. Balen Evaluating the Impact of Ionizing Particles on FinFET -based SRAMs with Weak Resistive Defects. Search on Bibsonomy LATS The full citation details ... 2020 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 447 (100 per page; Change: )
Pages: [1][2][3][4][5][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license