The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Searching for phrase gate-delay (changed automatically) with no syntactic query expansion in all metadata.

Publication years (Num. hits)
1985-1993 (19) 1994-1995 (17) 1996-1997 (17) 1998-1999 (15) 2000-2001 (15) 2002 (15) 2003 (16) 2004-2005 (30) 2006 (16) 2007 (22) 2008 (17) 2009-2011 (15) 2012-2015 (15) 2016-2021 (10)
Publication types (Num. hits)
article(75) inproceedings(164)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
The graphs summarize 213 occurrences of 151 keywords

Results
Found 239 publication records. Showing 239 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
110Hiroshi Takahashi, Takashi Watanabe, Yuzo Takamatsu Generation of tenacious tests for small gate delay faults in combinational circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1995 DBLP  DOI  BibTeX  RDF tenacious tests, small gate delay faults, single gate delay fault, ISCAS'85 benchmark circuits, fault diagnosis, logic testing, delays, test generation, combinational circuits, combinational circuits, fault coverage
87Ken-ichi Okada, Kento Yamaoka, Hidetoshi Onodera Statistical modeling of gate-delay variation with consideration of intra-gate variability. Search on Bibsonomy ISCAS (5) The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
64Muzhou Shao, Martin D. F. Wong, Huijing Cao, Youxin Gao, Li-Pen Yuan, Li-Da Huang, Seokjin Lee Explicit gate delay model for timing evaluation. Search on Bibsonomy ISPD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF pre-characterize, delay model, explicit
55Kenichi Shinkai, Masanori Hashimoto, Atsushi Kurokawa, Takao Onoye A gate delay model focusing on current fluctuation over wide-range of process and environmental variability. Search on Bibsonomy ICCAD The full citation details ... 2006 DBLP  DOI  BibTeX  RDF gate delay model, variability, static timing analysis, statistical timing analysis
54Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical gate delay calculation with crosstalk alignment consideration. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
54Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu, Toshiyuki Matsunaga A Method of Generating Tests for Marginal Delays an Delay Faults in Combinational Circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 1997 DBLP  DOI  BibTeX  RDF marginal delay, test generation, combinational circuit, gate delay faults
51Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Constructing Current-Based Gate Models Based on Existing Timing Library. Search on Bibsonomy ISQED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
51Hiran Tennakoon, Carl Sechen Nonconvex Gate Delay Modeling and Delay Optimization. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
49Ananta K. Majhi, Vishwani D. Agrawal Tutorial: Delay Fault Models and Coverage. Search on Bibsonomy VLSI Design The full citation details ... 1998 DBLP  DOI  BibTeX  RDF delay fault models, gate delay model, line delay model, path delay model, segment delay model, transition model, Delay test
47Vishwani D. Agrawal, Michael L. Bushnell, Ganapathy Parthasarathy, Rajesh Ramadoss Digital Circuit Design for Minimum Transient Energy and a Linear Programming Method. Search on Bibsonomy VLSI Design The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
46Patrick Girard 0001, Christian Landrault, Serge Pravossoudovitch, B. Rodriguez Diagnostic of path and gate delay faults in non-scan sequential circuits. Search on Bibsonomy VTS The full citation details ... 1995 DBLP  DOI  BibTeX  RDF nonscan sequential circuits, self-masking identification, fault diagnosis, fault diagnosis, logic testing, delays, integrated circuit testing, sequential circuits, automatic testing, integrated logic circuits, path delay faults, synchronous sequential circuits, path tracing, gate delay faults
45Ting Wei Chiang, C. Y. Roger Chen, Wei-Yu Chen An efficient gate delay model for VLSI design. Search on Bibsonomy ICCD The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
45Foong-Charn Chang, Chin-Fu Chen, Prasad Subramaniam An Accurate and Efficient Gate Level Delay Calculator for MOS Circuits. Search on Bibsonomy DAC The full citation details ... 1988 DBLP  BibTeX  RDF
44Jayashree Sridharan, Tom Chen 0001 Gate Delay Modeling with Multiple Input Switching for Static (Statistical) Timing Analysis. Search on Bibsonomy VLSI Design The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
44Jessica Qian, Satyamurthy Pullela, Lawrence T. Pillage Modeling the "Effective capacitance" for the RC interconnect of CMOS gates. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
41Ankan K. Pramanick, Sudhakar M. Reddy On the fault coverage of gate delay fault detecting tests. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
41Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2003 DBLP  DOI  BibTeX  RDF on-chip inductance, shielding effect, propagation delay, interconnect modeling, gate delay
39Venkataraman Mahalingam, N. Ranganathan, Justin E. Harlow III A novel approach for variation aware power minimization during gate sizing. Search on Bibsonomy ISLPED The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
39Soroush Abbaspour, Hanif Fatemi, Massoud Pedram VGTA: Variation Aware Gate Timing Analysis. Search on Bibsonomy ICCD The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
39Michinobu Nakao, Yoshikazu Kiyoshige, Kazumi Hatayama, Yasuo Sato, Takaharu Nagumo Test Generation for Multiple-Threshold Gate-Delay Fault Model. Search on Bibsonomy Asian Test Symposium The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
38Takayuki Fukuoka, Akira Tsuchiya, Hidetoshi Onodera Statistical gate delay model for Multiple Input Switching. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
38M. J. Geuzebroek, J. Th. van der Linden, Ad J. van de Goor Test Point Insertion that Facilitates ATPG in Reducing Test Time and Data Volume. Search on Bibsonomy ITC The full citation details ... 2002 DBLP  DOI  BibTeX  RDF compact test sets, ATPG, fault coverage, stuck-at faults, test length, Test point insertion, gate-delay faults
37Jayashree Sridharan, Tom Chen 0001 Modeling multiple input switching of CMOS gates in DSM technology using HDMR. Search on Bibsonomy DATE The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
35Srinivas Devadas, Kurt Keutzer Addendum to "Synthesis of robust delay-fault testable circuits: Theory". Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
34Soroush Abbaspour, Massoud Pedram, Amir H. Ajami, Chandramouli V. Kashyap Fast Interconnect and Gate Timing Analysis for Performance Optimization. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
34Shangzhi Sun, David Hung-Chang Du, Hsi-Chuan Chen Efficient timing analysis for CMOS circuits considering data dependent delays. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
33Satish K. Yanamanamanda, Jun Li 0066, Janet Meiling Wang Uncertainty modeling of gate delay considering multiple input switching. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Dali L. Tao, Carlos R. P. Hartmann, Parag K. Lala A General Technique for Designing Totally Self-Checking Checker for 1-out-of-N Code with Minimum Gate Delay. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF 1-out-of-N code, minimum gate delay, NOR array, NOR-NOR PLA, fault tolerant computing, logic testing, delays, logic design, translator, error detection codes, logic arrays, totally self-checking checker
32Y. Satish Kumar, Jun Li 0066, Claudio Talarico, Janet Meiling Wang A Probabilistic Collocation Method Based Statistical Gate Delay Model Considering Process Variations and Multiple Input Switching. Search on Bibsonomy DATE The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
32Stephan Eggersglüß, Daniel Tille, Görschwin Fey, Rolf Drechsler, Andreas Glowatz, Friedrich Hapke, Jürgen Schlöffel Experimental Studies on SAT-Based ATPG for Gate Delay Faults. Search on Bibsonomy ISMVL The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
32Shahin Nazarian, Massoud Pedram, Emre Tuncer, Tao Lin Sensitivity-Based Gate Delay Propagation in Static Timing Analysis. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
31Susmita Sur-Kolay, Marly Roncken, Ken S. Stevens, Parimal Pal Chaudhuri, Rob Roy Fsimac: a fault simulator for asynchronous sequential circuits. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF Fsimac, gate-level fault simulator, Muller C-elements, complex domino gates, high-speed design, min-max timing analysis, min-max rime stamps, CA-BIST, waveform model, logic testing, built-in self test, timing, cellular automata, Cellular Automata, sequential circuits, iterative methods, fault simulation, fault simulator, asynchronous circuits, stuck-at faults, iterations, delay faults, combinational logic, feedback loops, pseudo-random tests, gate-delay faults, asynchronous sequential circuits
30Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Parameterized Non-Gaussian Variational Gate Timing Analysis. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
30Wen Ching Wu, Chung-Len Lee 0001, Ming Shae Wu, Jwu E. Chen, Magdy S. Abadir Oscillation Ring Delay Test for High Performance Microprocessors. Search on Bibsonomy J. Electron. Test. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF oscillation ring testing, sensitized path, robust path dealy fault, hazard-free path delay fault, multiple reconvergent fanout, flunk lines, stuck at fault, delay fault testing, gate delay fault
30Bishnu Prasad Das, Janakiraman Viraraghavan, Bharadwaj Amrutur, H. S. Jamadagni, N. V. Arvind Voltage and Temperature Scalable Gate Delay and Slew Models Including Intra-Gate Variations. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
29Soumitra Bose, Vishwani D. Agrawal Delay Test Quality Evaluation Using Bounded Gate Delays. Search on Bibsonomy VTS The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
28Hiroshi Takahashi, Kwame Osei Boateng, Yuzo Takamatsu Diagnosis of Single Gate Delay Faults in Combinational Circuits using Delay Fault Simulation. Search on Bibsonomy Asian Test Symposium The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
28Amit Goel, Sarma B. K. Vrudhula Statistical waveform and current source based standard cell models for accurate timing analysis. Search on Bibsonomy DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF statistical waveform models, process variations, timing analysis
28Yu Cao, Lawrence T. Clark Mapping Statistical Process Variations Toward Circuit Performance Variability: An Analytical Modeling Approach. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
27S. Dabas, Ning Dong 0002, Jaijeet S. Roychowdhury Automated Extraction of Accurate Delay/Timing Macromodels of Digital Gates and Latches using Trajectory Piecewise Methods. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF gate delay modelling, accurate delay/timing macromodels, digital gates, trajectory-piecewise automated nonlinear macromodelling methods, mixed-signal/RF domain, SPICE-level netlists, transparent retargetability, NAND gates, NOR gates, sequential latch, latches, full adder, current-source models, XOR gates
27Magdy A. El-Moursy, Eby G. Friedman Shielding effect of on-chip interconnect inductance. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
27Bradley C. Kuszmaul, Dana S. Henry, Gabriel H. Loh A Comparison of Asymptotically Scalable Superscalar Processors. Search on Bibsonomy Theory Comput. Syst. The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
27Tezaswi Raja, Vishwani D. Agrawal, Michael L. Bushnell Minimum Dynamic Power CMOS Circuit Design by a Reduced Constraint Set Linear Program. Search on Bibsonomy VLSI Design The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Aseem Agarwal, Florentin Dartu, David T. Blaauw Statistical gate delay model considering multiple input switching. Search on Bibsonomy DAC The full citation details ... 2004 DBLP  DOI  BibTeX  RDF performance, Algorithms, reliability
25Chris C. N. Chu, Evangeline F. Y. Young, Dennis K. Y. Tong, Sampath Dechu Retiming with Interconnect and Gate Delay. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
25Frank Poehl, Walter Anheier Quality Determination for Gate Delay Fault Tests Considering Three-State Elements. Search on Bibsonomy J. Electron. Test. The full citation details ... 1999 DBLP  DOI  BibTeX  RDF ATPG, fault modelling, fault simulation
25Janet Meiling Wang, Jun Li 0066, Satish K. Yanamanamanda, Lakshmi Kalpana Vakati, Kishore Kumar Muchherla Modeling the Driver Load in the Presence of Process Variations. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
25Zhangcai Huang, Atsushi Kurokawa, Yasuaki Inoue Effective capacitance for gate delay with RC loads. Search on Bibsonomy ISCAS (3) The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Yu-Ting Pai, Yu-Kumg Chen The Fastest Carry Lookahead Adder. Search on Bibsonomy DELTA The full citation details ... 2004 DBLP  DOI  BibTeX  RDF central processing unit, integrated circuit, adder, gate delay, carry lookahead adder
25Wei-Yu Chen, Sandeep K. Gupta 0001, Melvin A. Breuer Test generation for crosstalk-induced faults: framework and computational result. Search on Bibsonomy Asian Test Symposium The full citation details ... 2000 DBLP  DOI  BibTeX  RDF 2-vector test generation, crosstalk-induced faults, noise effects, design effort, debugging effort, pulses, signal speedup, signal slowdown, digital combinational circuits, mixed-signal test generator, XGEN, static values, dynamic signals, signal arrival times, rise times, fall times, integrated circuit testing, automatic test pattern generation, combinational circuits, accuracy, vectors, circuit analysis computing, crosstalk, transitions, integrated logic circuits, technology scaling, SPICE simulations, gate delay, circuit performance, timing information, clock frequency
25Kanji Hirabayashi Delay fault simulation of sequential circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF sequential circuit, fault simulation, robust test, Gate delay fault
25Vineet Agarwal, Jin Sun 0006, Alexander V. Mitev, Janet Meiling Wang Delay Uncertainty Reduction by Interconnect and Gate Splitting. Search on Bibsonomy ASP-DAC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
25Xijiang Lin, Janusz Rajski Propagation delay fault: a new fault model to test delay faults. Search on Bibsonomy ASP-DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
25Siddharth Garg, Siddharth Tata, Ravishankar Arunachalam Static Transition Probability Analysis Under Uncertainty. Search on Bibsonomy ICCD The full citation details ... 2004 DBLP  DOI  BibTeX  RDF
25Mahesh Ketkar, Kishore Kasamsetty, Sachin S. Sapatnekar Convex delay models for transistor sizing. Search on Bibsonomy DAC The full citation details ... 2000 DBLP  DOI  BibTeX  RDF SPICE
25Sorin Cotofana, Stamatis Vassiliadis On the Design Complexity of the Issue Logic of Superscalar Machines. Search on Bibsonomy EUROMICRO The full citation details ... 1998 DBLP  DOI  BibTeX  RDF
24Kwangok Jeong, Andrew B. Kahng, Hailong Yao Revisiting the linear programming framework for leakage power vs. performance optimization. Search on Bibsonomy ISQED The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
24Jean Marc Gallière, Michel Renovell, Florence Azaïs, Yves Bertrand Delay Testing Viability of Gate Oxide Short Defects. Search on Bibsonomy J. Comput. Sci. Technol. The full citation details ... 2005 DBLP  DOI  BibTeX  RDF gate oxide short (GOS), VLSI, delay testing, defect
24Soroush Abbaspour, Hanif Fatemi, Massoud Pedram Parameterized block-based non-gaussian statistical gate timing analysis. Search on Bibsonomy ASP-DAC The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
24Per Larsson-Edefors Technology mapping onto very-high-speed standard CMOS hardware. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1996 DBLP  DOI  BibTeX  RDF
23Hidetoshi Matsumura, Atsushi Takahashi 0001 Delay variation tolerant clock scheduling for semi-synchronous circuits. Search on Bibsonomy APCCAS (1) The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Horng-Bin Wang, Shi-Yu Huang, Jing-Reng Huang Gate-Delay Fault Diagnosis Using the Inject-and-Evaluate Paradigm. Search on Bibsonomy DFT The full citation details ... 2002 DBLP  DOI  BibTeX  RDF
23Taizhi Liu, Chang-Chih Chen, Soonyoung Cha, Linda Milor System-level variation-aware aging simulator using a unified novel gate-delay model for bias temperature instability, hot carrier injection, and gate oxide breakdown. Search on Bibsonomy Microelectron. Reliab. The full citation details ... 2015 DBLP  DOI  BibTeX  RDF
23Halil Kükner, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken Impact of duty factor, stress stimuli, gate and drive strength on gate delay degradation with an atomistic trap-based BTI model. Search on Bibsonomy Microprocess. Microsystems The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Tomohiro Yoshida, Kengo Kobayashi, Taiichi Otsuji, Tetsuya Suemitsu Impact of T-gate stem height on parasitic gate delay time in InGaAs-HEMTs. Search on Bibsonomy ESSDERC The full citation details ... 2013 DBLP  DOI  BibTeX  RDF
23Halil Kukner, Pieter Weckx, Praveen Raghavan, Ben Kaczer, Francky Catthoor, Liesbet Van der Perre, Rudy Lauwereins, Guido Groeseneken Impact of Duty Factor, Stress Stimuli, and Gate Drive Strength on Gate Delay Degradation with an Atomistic Trap-Based BTI Model. Search on Bibsonomy DSD The full citation details ... 2012 DBLP  DOI  BibTeX  RDF
23Kenichi Okada, Kento Yamaoka, Hidetoshi Onodera Statistical Gate-Delay Modeling with Intra-Gate Variability. Search on Bibsonomy IEICE Trans. Fundam. Electron. Commun. Comput. Sci. The full citation details ... 2003 DBLP  BibTeX  RDF
23Ken-ichi Okada, Kento Yamaoka, Hidetoshi Onodera A Statistical Gate-Delay Model Considering Intra-Gate Variability. Search on Bibsonomy ICCAD The full citation details ... 2003 DBLP  DOI  BibTeX  RDF
23Kishore Kasamsetty, Mahesh Ketkar, Sachin S. Sapatnekar A new class of convex functions for delay modeling and itsapplication to the transistor sizing problem [CMOS gates]. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
22Kanupriya Gulati, Sunil P. Khatri Accelerating statistical static timing analysis using graphics processing units. Search on Bibsonomy ASP-DAC The full citation details ... 2009 DBLP  DOI  BibTeX  RDF
22Rajeshwary Tayade, Sani R. Nassif, Jacob A. Abraham Analytical model for the impact of multiple input switching noise on timing. Search on Bibsonomy ASP-DAC The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22David S. Kung 0001, Ruchir Puri Optimal P/N width ratio selection for standard cell libraries. Search on Bibsonomy ICCAD The full citation details ... 1999 DBLP  DOI  BibTeX  RDF
22Venkataraman Mahalingam, N. Ranganathan, J. E. Harlow A Fuzzy Optimization Approach for Variation Aware Power Minimization During Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
22Zuying Luo General transistor-level methodology on VLSI low-power design. Search on Bibsonomy ACM Great Lakes Symposium on VLSI The full citation details ... 2006 DBLP  DOI  BibTeX  RDF nanometer, transistor level, simulation, optimization
22Dinesh Patil, Sunghee Yun, Seung-Jean Kim, Alvin Cheung, Mark Horowitz, Stephen P. Boyd A New Method for Design of Robust Digital Circuits. Search on Bibsonomy ISQED The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
21Ravi Bonam, Yong-Bin Kim, Minsu Choi Defect-Tolerant Gate Macro Mapping & Placement in Clock-Free Nanowire Crossbar Architecture. Search on Bibsonomy DFT The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
21Gary C. Moyer, Mark Clements, Wentai Liu, Toby Schaffer, Ralph K. Cavin III A technique for high-speed, fine-resolution pattern generation and its CMOS implementation. Search on Bibsonomy ARVLSI The full citation details ... 1995 DBLP  DOI  BibTeX  RDF high-speed fine-resolution pattern generation, data signals, edge placement, matched delays, MOSIS CMOS technology, 100 ps, 833 Mbit/s, architecture, delays, test pattern generators, network interfaces, CMOS digital integrated circuits, 1.2 micron
20Andrew B. Kahng, Bao Liu 0001, Xu Xu 0001 Statistical Timing Analysis in the Presence of Signal-Integrity Effects. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20M. Eisele, Jörg Berthold, Doris Schmitt-Landsiedel, R. Mahnkopf The impact of intra-die device parameter variations on path delays and on the design for yield of low voltage digital circuits. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 1997 DBLP  DOI  BibTeX  RDF
20Kwang-Ting Cheng, Srinivas Devadas, Kurt Keutzer Delay-fault test generation and synthesis for testability under a standard scan design methodology. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF
20Srinivas Devadas, Kurt Keutzer Synthesis of robust delay-fault-testable circuits: theory. Search on Bibsonomy IEEE Trans. Comput. Aided Des. Integr. Circuits Syst. The full citation details ... 1992 DBLP  DOI  BibTeX  RDF
20Peng Li 0001, Zhuo Feng, Emrah Acar Characterizing Multistage Nonlinear Drivers and Variability for Accurate Timing and Noise Analysis. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
20Lizheng Zhang, Weijen Chen, Yuhen Hu, John A. Gubner, Charlie Chung-Ping Chen Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model. Search on Bibsonomy DAC The full citation details ... 2005 DBLP  DOI  BibTeX  RDF
19Debjit Sinha, Narendra V. Shenoy, Hai Zhou 0001 Statistical Timing Yield Optimization by Gate Sizing. Search on Bibsonomy IEEE Trans. Very Large Scale Integr. Syst. The full citation details ... 2006 DBLP  DOI  BibTeX  RDF
19Frank Sill, Frank Grassert, Dirk Timmermann Total leakage power optimization with improved mixed gates. Search on Bibsonomy SBCCI The full citation details ... 2005 DBLP  DOI  BibTeX  RDF MVT, leakage currents, threshold voltage
19Arash Reyhani-Masoleh, M. Anwar Hasan Efficient digit-serial normal basis multipliers over binary extension fields. Search on Bibsonomy ACM Trans. Embed. Comput. Syst. The full citation details ... 2004 DBLP  DOI  BibTeX  RDF security, finite field, normal basis, Digit-serial multiplier
19Tomohiko Yano, Toru Nakura, Tetsuya Iizuka, Kunihiro Asada A Gate Delay Mismatch Tolerant Time-Mode Analog Accumulator Using a Delay Line Ring. Search on Bibsonomy IEICE Trans. Electron. The full citation details ... 2017 DBLP  DOI  BibTeX  RDF
19Yoshinobu Higami, Hiroshi Takahashi, Shin-ya Kobayashi, Kewal K. Saluja Diagnosis of Gate Delay Faults in the Presence of Clock Delay Faults. Search on Bibsonomy ISVLSI The full citation details ... 2014 DBLP  DOI  BibTeX  RDF
19Paolo Bernardi, Matteo Sonza Reorda, Alberto Bosio, Patrick Girard 0001, Serge Pravossoudovitch On the Modeling of Gate Delay Faults by Means of Transition Delay Faults. Search on Bibsonomy DFT The full citation details ... 2011 DBLP  DOI  BibTeX  RDF
19Soumitra Bose, Hillary Grimes, Vishwani D. Agrawal Delay fault simulation with bounded gate delay mode. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Yukio Okuda Gate delay ratio model for unified path delay analysis. Search on Bibsonomy ITC The full citation details ... 2007 DBLP  DOI  BibTeX  RDF
19Andrzej Krasniewski, Leszek B. Wronski Tests for path delay faults vs. tests for gate delay faults: how different they are. Search on Bibsonomy EURO-DAC The full citation details ... 1994 DBLP  DOI  BibTeX  RDF
19Balaji Srinivasan, Vinay Bhaskar Chandratre, Menka Tewani 0.35µ, 1 GHz, CMOS Timing Generator Using Array of Digital Delay Lock Loops. Search on Bibsonomy VLSI Design The full citation details ... 2008 DBLP  DOI  BibTeX  RDF
19Ivan E. Sutherland, Jon K. Lexau Designing Fast Asynchronous Circuits. Search on Bibsonomy ASYNC The full citation details ... 2001 DBLP  DOI  BibTeX  RDF
18Myeong-Eun Hwang, Seong-Ook Jung, Kaushik Roy 0001 Slope interconnect effort: gate-interconnect interdependentdelay model for CMOS logic gates. Search on Bibsonomy ISLPED The full citation details ... 2007 DBLP  DOI  BibTeX  RDF signal slope, interconnect, gate delay, subthreshold operation
18Dennis Sylvester, Kurt Keutzer Getting to the bottom of deep submicron. Search on Bibsonomy ICCAD The full citation details ... 1998 DBLP  DOI  BibTeX  RDF ASIC, power dissipation, signal integrity, interconnect modeling, wirelength, gate delay, CMOS scaling
18Akhilesh Tyagi A Reduced-Area Scheme for Carry-Select Adders. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1993 DBLP  DOI  BibTeX  RDF reduced-area, conditional-sum adders, carry-chain evaluations, gate-count, carry-ripple, classical carry-select, logic design, adders, logic circuits, gate-delay, parallel-prefix adders, analytic evaluation, area-efficient, carry-skip adders, carry-select adders
18Zaifu Zhang, Robert D. McLeod, Witold Pedrycz A neural network algorithm for testing stuck-open faults in CMOS combinational circuits. Search on Bibsonomy J. Electron. Test. The full citation details ... 1993 DBLP  DOI  BibTeX  RDF stuck-open and gate delay faults, Neural networks, test pattern generation
18Nhon T. Quach, Michael J. Flynn High-Speed Addition in CMOS. Search on Bibsonomy IEEE Trans. Computers The full citation details ... 1992 DBLP  DOI  BibTeX  RDF high speed addition, static complementary metal-oxide semiconductor, Ling-type 32-bit adder, serial transistors, worst-case critical path, carry look-ahead, CMOS, adders, CMOS integrated circuits, gate delay, 32 bit
18Bruno Franzini, Cristiano Forzan, Davide Pandini, Primo Scandolara, Alessandro Dal Fabbro Crosstalk Aware Static Timing Analysis: A Two Step Approach. Search on Bibsonomy ISQED The full citation details ... 2000 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 239 (100 per page; Change: )
Pages: [1][2][3][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license