The FacetedDBLP logo    Search for: in:

Disable automatic phrases ?     Syntactic query expansion: ?

Publications at "IRPS"( http://dblp.L3S.de/Venues/IRPS )

URL (DBLP): http://dblp.uni-trier.de/db/conf/irps

Publication years (Num. hits)
2015 (174) 2018 (172) 2019 (171) 2020 (183) 2021 (141) 2022 (174) 2023 (186)
Publication types (Num. hits)
inproceedings(1194) proceedings(7)
Venues (Conferences, Journals, ...)
IRPS(1201)
GrowBag graphs for keyword ? (Num. hits/coverage)

Group by:
No Growbag Graphs found.

Results
Found 1201 publication records. Showing 1201 according to the selection in the facets
Hits ? Authors Title Venue Year Link Author keywords
1Sara Vecchi, Paolo Pavan, Francesco Maria Puglisi A Unified Framework to Explain Random Telegraph Noise Complexity in MOSFETs and RRAMs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jiyoung Yoon, Bumgi Lee, Jaehee Song, Bokyoung Kang, Sangho Lee, Doh-Soon Kwak, Heonsang Lim, Ilsang Park, Jonghoon Kim, Sangwoo Pae Customized wafer level verification methodology: quality risk pre-diagnosis with enhanced screen-ability of stand-by stress-related deteriorations. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1M. Y. Li, J. P. Lee, C. H. Liu, J. C. Guo, Steve S. Chung A World First QLC RRAM: Highly Reliable Resistive-Gate Flash with Record 108 Endurance and Excellent Retention. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ryan Lu, Yao-Chun Chuang, Jyun-Lin Wu, Jun He Reliability Challenges from 2.5D to 3DIC in Advanced Package Development. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xinyi Zhang, Kewei Wang, Fang Wang, Jiangjiang Li, Zhicheng Wu, Duoli Li, Bo Li, Jianhui Bu, Zhengsheng Han Influence of Back Gate Bias on the Hot Carrier Reliability of DSOI nMOSFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1N. Said, Kathia Harrouche, Farid Medjdoub, Nathalie Labat, Jean-Guy Tartarin, Nathalie Malbert Thermal and statistical analysis of various AlN/GaN HEMT geometries for millimeter Wave applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1L. Cattaneo, Matteo Baldo, Nicola Lepri, Flavio Sancandi, Massimo Borghi, Elisa Petroni, A. Serafini, Roberto Annunziata, Andrea Redaelli, Daniele Ielmini Enhancing reliability of a strong physical unclonable function (PUF) solution based on virgin-state phase change memory (PCM). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Chao-Yang Ke, Yu-Chia Tsui, Bing-Yue Tsui, Ming-Dou Ker Investigation of Safe Operating Area on 4H-SiC 600V VDMOSFET with TLP and UIS Test Methods. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kang Yang, Suhui Yang, Yan Ouyang, Shengwei Yang, Kun Han, Yi He Stress Migration of Aluminum Backside Interconnect in Xtacking®. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hossein Sarbishaei, Vladislav A. Vashchenko ESD Avalanche Diodes Degradation in EOS Regime. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Simon Van Beek, Kaiming Cai, Kaiquan Fan, Giacomo Talmelli, Anna Trovato, Nico Jossart, Siddharth Rao, Adrian Vaisman Chasin, Sebastien Couet MTJ degradation in multi-pillar SOT-MRAM with selective writing. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Peter Moens, F. Geenen, L. De Schepper, JF Cano, J. Lettens, S. Maslougkas, J. Franchi, Martin Domeij The Concept of Safe Operating Area for Gate Dielectrics: the SiC/SiO2 Case Study. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rachael J. Parker, Jyothi Bhaskarr Velamala, Kuan-Yueh James Shen, David Johnston, Yao-Feng Chang, Stephen M. Ramey, Siang-jhih Sean Wu, Padma Penmatsa A Physical Unclonable Function Leveraging Hot Carrier Injection Aging. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Pradeep Lall, Ved Soni, Guneet Sethi, Kok Yiang Estimation of SOH Degradation of Coin Cells Subjected to Accelerated Life Cycling with Randomized Cycling Depths and C-Rates. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rajarshi Roy Chaudhuri, Vipin Joshi, Amratansh Gupta, Tanmay Joshi, Rasik Rashid Malik, Mehak Ashraf Mir, Sayak Dutta Gupta, Mayank Shrivastava Unique Lattice Temperature Dependent Evolution of Hot Electron Distribution in GaN HEMTs on C-doped GaN Buffer and its Reliability Consequences. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jason Jopling Risk Management Informed by an Uncertain Bathtub Curve (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Patrick Fiorenza, Francesco Cordiano, Mario Santo Alessandrino, Alfio Russo, Edoardo Zanetti, Mario Saggio, C. Bongiorno, Filippo Giannazzo, Fabrizio Roccaforte Consideration on the extrapolation of the low insulator field TDDB in 4H-SiC power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rakesh Ranjan, Pavitra Ramadevi Perepa, Ki-Don Lee, Hokyung Park, Peter Kim, Ganesh Chakravarthy Yerubandi, Jon Haefner, Caleb Dongkyun Kwon, Minjung Jin, Wenhao Zhou, Hyewon Shim, Shin-Young Chung Impact of Barrier Metal Thickness on SRAM Reliability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Milan Pesic, Bastien Beltrando, Tommaso Rollo, Cristian Zambelli, Andrea Padovani, Rino Micheloni, Rita Maji, Lisa Enman, Mark Saly, Yang Ho Bae, Jung Bae Kim, Dong Kil Yim, Luca Larcher Insights into device and material origins and physical mechanisms behind cross temperature in 3D NAND. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Huimei Zhou, Miaomiao Wang 0006, Nicolas Loubet, Andrew Gaul, Yasir Sulehria Impact of Gate Stack Thermal Budget on NBTI Reliability in Gate-All-Around Nanosheet P-type Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1R. Green, A. Lelis, D. Urciuoli, E. Schroen, D. Habersat Dynamic On-State Resistance in SiC MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1P. Srinivasan 0002, J. Lestage, Shafi Syed, X. Hui, Stephen Moss, Oscar D. Restrepo, Oscar H. Gonzalez, Y. Chen, T. McKay, Anirban Bandyopadhyay, Ned Cahoon, Fernando Guarin, Byoung Min, Martin Gall, S. Ludvik RF long term aging behavior and reliability in 22FDX WiFi Power Amplifier designs for 5G applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1William Vandendaele, Camille Leurquin, R. Lavieville, Marie-Anne Jaud, Abygaël Viey, Romain Gwoziecki, B. Mohamad, E. Nowak, A. Constant, Ferdinando Iucolano Reliability of GaN MOSc-HEMTs: From TDDB to Threshold Voltage Instabilities (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rasik Rashid Malik, Vipin Joshi, Rajarshi Roy Chaudhuri, Mehak Ashraf Mir, Zubear Khan, Avinas N. Shaji, Madhura Bhattacharya, Anup T. Vitthal, Mayank Shrivastava Signatures of Positive Gate Over-Drive Induced Hole Trap Generation and its Impact on p-GaN Gate Stack Instability in AlGaN/GaN HEMTs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Taiki Uemura, Byungjin Chung, Shin-Young Chung, Seungbae Lee, Yuchul Hwang, Sangwoo Pae Impact of Design and Process on Alpha-Induced SER in 4 nm Bulk-FinFET SRAM. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1J. Tan, J. H. Lim, Jae Hyun Kwon, Vinayak Bharat Naik, Nagarajan Raghavan, Kin Leong Pey Backhopping-based STT-MRAM Poisson Spiking Neuron for Neuromorphic Computation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Takamasa Hamai, Kunifumi Suzuki, Reika Ichihara, Yusuke Higashi, Yoko Yoshimura, Kiwamu Sakuma, Kensuke Ota, Kota Takahashi, Kazuhiro Matsuo, Shosuke Fujii, Masumi Saitoh Novel Operation Scheme for Suppressing Disturb in HfO2-based FeFET Considering Charge- Trapping-Coupled Polarization Dynamics. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Weiman Yan, Ernest Wu, Alexander G. Schwing, Elyse Rosenbaum Semantic Autoencoder for Modeling BEOL and MOL Dielectric Lifetime Distributions. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hyeongseok Oh, Myungsun Chun, Jiwon Lee, Shi-Jie Wen, Nick Yu, Byung-Gun Park, Sanghyeon Baeg Write Recovery Time Degradation by Thermal Neutrons in DDR4 DRAM Components. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Joycelyn Hai, Florian Cacho, X. Federspiel, Tidjani Garba-Seybou, A. Divay, Estelle Lauga-Larroze, Jean-Daniel Arnould Integrated Test Circuit for Off-State Dynamic Drain Stress Evaluation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jatin, M. Monishmurali, Mayank Shrivastava Multi-finger turn-on: A potential cause of premature failure in Drain Extended HV Nanosheet Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Xinyi Xu, Hongchao Zhang, Chuanpeng Jiang, Jinhao Li, Shiyang Lu, Yunpeng Li, Honglei Du, Xueying Zhang, Zhaohao Wang, Kaihua Cao, Weisheng Zhao, Shuqin Lyu, Hao Xu, Bonian Jiang, Le Wang, Bowen Man, Cong Zhang, Dandan Li, Shuhui Li, Xiaofei Fan, Gefei Wang, Hong-xi Liu Full reliability characterization of three-terminal SOT-MTJ devices and corresponding arrays. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Y. L. Yang, P. C. Tsao, C. W. Lin, Ross Lee, Olivia Ni, T. T. Chen, Y. J. Ting, C. T. Lai, Jason Yeh, Arnold Yang, Wayne Huang 0003, Peng Chen, Charly Tsai, Ryan Yang, Y. S. Huang, B. C. Hsu, M. Z. Lee, T. H. Lee, Michael Huang, Coming Chen, Liham Chu, H. W. Kao, N. S. Tsai Performing Machine Learning Based Outlier Detection for Automotive Grade Products. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yuchong Wang, Siyuan Chen, Fanyu Liu, Bo Li 0051, Jiangjiang Li, Yang Huang, Tiexin Zhang, Xu Zhang, Zhengsheng Han, Tianchun Ye 0001, Jing Wan The Effects of $\gamma$ Radiation-Induced Trapped Charges on Single Event Transient in DSOI Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Marek Skowronski Material instabilities in the TaOx-based resistive switching devices (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Christian Schwabe, Xing Liu, Tobias N. Wassermann, Paul Salmen, Thomas Basler SiC MOSFET threshold voltage stability during power cycling testing and the impact on the result interpretation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Elena Mengotti, Enea Bianda, David Baumann, Gerd Schlottig, Francisco Canales Industrial approach to the chip and package reliability of SiC MOSFETs (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Emmanuel Bender, Joseph B. Bernstein, Duane S. Boning The Effects of Process Variations and BTI in Packaged FinFET Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yue Xi, Xinyi Li, Junhao Chen, Ruofei Hu, Qingtian Zhang, Zhixing Jiang, Feng Xu, Jianshi Tang Reliability of Memristive Devices for High-Performance Neuromorphic Computing: (Invited Paper). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1S. Q. Zhang, Y. S. Sun, D. Gao, H. Jiang, Z. Q. Yu, H. Zheng, J. L. Huang Investigation of Channel Dimension Dependence of BTI Degradation and Variation in Planar HKMG MOSFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Simon Thomann, Albi Mema, Kai Ni 0004, Hussam Amrouch Reliable FeFET-based Neuromorphic Computing through Joint Modeling of Cycle-to-Cycle Variability, Device-to-Device Variability, and Domain Stochasticity. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1In-Hwan Ji, Anoop Mathew, Jae-Hyung Park, Neal Oldham, Matthew McCain, Shadi Sabri, Edward Van Brunt, Brett Hull, Daniel J. Lichtenwalner, Donald A. Gajewski, John W. Palmour High Temperature and High Humidity Reliability Evaluation of Large-Area 1200V and 1700V SiC Diodes. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shudong Huang, Srivatsan Parthasarathy, Yuanzhong Paul Zhou, Jean-Jacques Hajjar, Elyse Rosenbaum Optimization of SCR for High-Speed Digital and RF Applications in 45-nm SOI CMOS Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1SungMan Rhee, Hyunjin Kim, Sangku Park, Taiki Uemura, Yuchul Hwang, Seungjin Choo, Jinju Kim, Hwasung Rhee, Shin-Young Chung Machine Learning Based V-ramp VBD Predictive Model Using OCD-measured Fab Parameters for Early Detection of MOL Reliability Risk. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Balaji Narasimham, H. Luk, C. Paone, A-R. Montoya, T. Riehle, Mike Smith, Liming Tsau Scaling Trends and the Effect of Process Variations on the Soft Error Rate of advanced FinFET SRAMs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Utpreksh Patbhaje, Rupali Verma, Jeevesh Kumar, Ansh, Mayank Shrivastava Unveiling Field Driven Performance Unreliabilities Governed by Channel Dynamics in MoSe2 FETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Michiel Vandemaele, Ben Kaczer, Erik Bury, Jacopo Franco, Adrian Chasin, Alexander Makarov, Hans Mertens, Geert Hellings, Guido Groeseneken Investigating Nanowire, Nanosheet and Forksheet FET Hot-Carrier Reliability via TCAD Simulations: Invited Paper. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yueying Liu, John Wood, Zongyang Hu, Satyaki Ganguly, Jeremy Fisher, Mike Watts, Scott T. Sheppard, Donald A. Gajewski, Basim Noori GaN HEMTs Design and Modeling for 5G. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1X. Federspiel, A. Griffon, M. Barlas, P. Lamontagne Effect of Frequency on Reliability Of High-K MIM Capacitors. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1K. Joshi, D. Nminibapiel, M. Ghoneim, D. Ali, R. Ramamurthy, L. Pantisano, Inanc Meric, Stephen Ramey A detailed comparison of various off-state breakdown methodologies for scaled Tri-gate technologies. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1P. C. Chang, P. J. Liao, C. H. Wu, Y. C. Chang, D. H. Hou, Elia Ambrosi, H. Y. Lee, J. H. Lee, X. Y. Bao A New Ramp Stress Reliability Assessment on Pulse Energy Based OTS Switching Operation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mathieu Sicre, X. Federspiel, Bastien Mamdy, David Roy 0001, Françis Calmon Characterization and modeling of DCR and DCR drift variability in SPADs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Sungmock Ha, S. Lee, G. H. Bae, D. S. Lee, S. H. Kim, B. W. Woo, N.-H. Lee, Y. S. Lee, S. Pae Reliability Characterization of HBM featuring $\text{HK}+\text{MG}$ Logic Chip with Multi-stacked DRAMs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1L. Panarella, Ben Kaczer, Quentin Smets, Devin Verreck, Tom Schram, Daire Cott, Dennis Lin, Stanislav Tyaginov, I. Asselberghs, Cesar J. Lockhart de la Rosa, Gouri Sankar Kar, Valeri Afanas'ev Impact of gate stack processing on the hysteresis of 300 mm integrated WS2 FETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zixuan Sun, Haoran Lu, Yongkang Xue, Wenpu Luo, Zirui Wang, Jiayang Zhang, Zhigang Ji, Runsheng Wang, Ru Huang Investigation of Hot Carrier Enhanced Body Bias Effect in Advanced FinFET Technology. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Colin Landon, Lei Jiang, Daniel Pantuso, Inanc Meric, Kam Komeyli, Jeffrey Hicks, Daniel Schroeder Localized thermal effects in Gate-all-around devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tiang Teck Tan, Yu-Yun Wang, Joel Tan, Tian-Li Wu, Nagarajan Raghavan, Kin Leong Pey A New Methodology to Precisely Induce Wake-Up for Reliability Assessment of Ferroelectric Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Meindert Lunenborg, Tomasz Brozek, Laura Lorenzi, Christoph Dolainsky, Violet Liu, Xiaoyi Feng Short-Flow Compatible Wafer-Level Reliability Assessment and Monitoring for PCM Embedded Non-Volatile Memory. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kazutoshi Kobayashi, Tomoharu Kishita, Hiroki Nakano, Jun Furuta, Mitsuhiko Igarashi, Shigetaka Kumashiro, Michitarou Yabuuchi, Hironori Sakamoto Ultra Long-term Measurement Results of BTI-induced Aging Degradation on 7-nm Ring Oscillators. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yusuf Cinar, Junghoon Kim, Eunho Oh, Sungki Lee, Changsik Kwon, Jonggyu Park Analysis of SSD Acoustic Noise Generation Mechanism depending on NAND operation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hyewon Seo, Taiuk Rim, Eunsun Lee, Sekyoung Jang, Kyosuk Chae, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Analysis of Intermittent Single-bit Failure on 10-nm node generation DRAM Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Aarti Rathi, Abhisek Dixit, Naga Satish, P. Srinivasan 0002, Fernando Guarin Reliability of SPST Series-stacked SOI CMOS RF Switches for mmWave Applications. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Christian Bogner, Christian Schlünder, Michael Waltl, Hans Reisinger, Tibor Grasser Modeling of NBTI Induced Threshold Voltage Shift Based on Activation Energy Maps Under Consideration of Variability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yiming Qu, Chu Yan, Xinwei Yu, Yaru Ding, Yi Zhao GHz Cycle-to-Cycle Variation in Ultra-scaled FinFETs: From the Time-Zero to the Aging States. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Zijian Zhang, Q. Li, Zuoyuan Dong, Wanting Wang, S. T. Lai, Xin Yang, Fang Liang, Chaolun Wang, C. Luo, Liangjian Lyu, Z. Li, J. M. Xu, Xing Wu 0005 Microscopic Characterization of Failure Mechanisms in Long-Term Implanted Microwire Neural Electrodes. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Longda Zhou, Jie Li, Zheng Qiao, Pengpeng Ren, Zixuan Sun, Jianping Wang, Blacksmith Wu, Zhigang Ji, Runsheng Wang, Kanyu Cao, Ru Huang Double-sided Row Hammer Effect in Sub-20 nm DRAM: Physical Mechanism, Key Features and Mitigation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Yishan Wu, Puyang Cai, Zhiwei Liu, Pengpeng Ren, Zhigang Ji Towards the understanding of ferroelectric-intrinsic variability and reliability issues on MCAM. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Lucas Reganaz, Damien Deleruyelle, Quentin Rafhay, Joel Minguet Lopez, Niccolo Castellani, Jean-François Nodin, Alessandro Bricalli, Giuseppe Piccolboni, Gabriel Molas, François Andrieu Investigation of resistance fluctuations in ReRAM: physical origin, temporal dependence and impact on memory reliability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Youqi Ding, O. Varela Pedreira, Melina Lofrano, Houman Zahedmanesh, T. Chavez, Hosain Farr, Ingrid De Wolf, Kris Croes Thermomigration-induced void formation in Cu-interconnects - Assessment of main physical parameters. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Emre Armagan, A. Saha, K. C. Liu, B. Gebrehiwot, M. Cartas, A. Das, T. Rawlings, P. Raghavan Knowledge Based Qualification for Thermal Interface Material Reliability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Rupali Verma, Utpreksh Patbhaje, Jeevesh Kumar, Anand Kumar Rai, Mayank Shrivastava OFF State Reliability Challenges of Monolayer WS2 FET Photodetector: Impact on the Dark and Photo-Illuminated State. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Laura Zunarelli, Luigi Balestra, Susanna Reggiani, Raj Sankaralingam, Mariano Dissegna, Gianluca Boselli TCAD study of the Holding-Voltage Modulation in Irradiated SCR-LDMOS for HV ESD Protection. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shengnan Zhu, Limeng Shi, Michael Jin, Jiashu Qian, Monikuntala Bhattacharya, Hema Lata Rao Maddi, Marvin H. White, Anant K. Agarwal, Tianshi Liu, Atsushi Shimbori, Chingchi Chen Reliability Comparison of Commercial Planar and Trench 4H-SiC Power MOSFETs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Mattia Giulianini, Gerardo Malavena, Luca Chiavarone, Alessandro S. Spinelli, Christian Monzio Compagnoni Depassivation of Traps in the Polysilicon Channel of 3D NAND Flash Arrays: Impact on Cell High-Temperature Data Retention. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Harumi Seki, Reika Ichihara, Yusuke Higashi, Yasushi Nakasaki, Masumi Saitoh, Masamichi Suzuki Comprehensive Analysis of Hole-Trapping in SiN Films with a Wide Range of Time Constants Based on Dynamic C-V. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Beatrice Carbone, Mario Santo Alessandrino, Alfio Russo, Elisa Vitanza, Filippo Giannazzo, Patrick Fiorenza, Fabrizio Roccaforte Carrot-like crystalline defects on the 4H-SiC powerMOSFET yield and reliability. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Aakanksha Mishra, Boeila Sampath Kumar, M. Monishmurali, Shaik Ahamed Suzaad, Shubham Kumar, Kiran Pote Sanjay, Amit Kumar Singh, Ankur Gupta, Mayank Shrivastava Extremely Large Breakdown to Snapback Voltage Offset $(\mathrm{V}_{\mathrm{t}1} > > \mathrm{V}_{\text{BD}})$: Another Way to Improve ESD Resilience of LDMOS Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Camille Leurquin, William Vandendaele, Romain Gwoziecki, B. Mohamad, G. Despesse, Ferdinando Iucolano, Roberto Modica, A. Constant Drain voltage impact on charge redistribution in GaN-on-Si E-mode MOSc-HEMTs. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Omkar Phadke, Khandker Akif Aabrar, Yuan-chun Luo, Sharadindu Gopal Kirtania, Asif Islam Khan, Suman Datta, Shimeng Yu Low-Frequency Noise Characteristics of Ferroelectric Field-Effect Transistors. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jian-Hsing Lee, Gong-Kai Lin, Chun-Chih Chen, Li-Fan Chen, Chien-Wei Wang, Shao-Chang Huang, Ching-Ho Li, Chih-Cherng Liao, Jung-Tsun Chuang, Ke-Horng Chen A Concise Electrothermal Model to Characterize the Thermal Safe-Operating Area of Power Transistor. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Taiuk Rim, Kyosuk Che, Sehyun Kwon, Jin-Seong Lee, Jeonghoon Oh, Hyodong Ban, Jooyoung Lee Enhanced DRAM Single Bit Characteristics from Process Control of Chlorine. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Laurent Grenouillet, Justine Barbot, J. Laguerre, Simon Martin 0006, Catherine Carabasse, M. Louro, Messaoud Bedjaoui, S. Minoret, S. Kerdilès, C. Boixaderas, Thomas Magis, Carine Jahan, François Andrieu, Jean Coignus Reliability assessment of hafnia-based ferroelectric devices and arrays for memory and AI applications (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kavita Vishwakarma, Rishabh Kishore, Suman Gora, Mandeep Jangra, Arnab Datta Reliability of InGaZnO Transparent ReRAM with Optically Active Pt-Nanodisks. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ken Takeuchi Neuromorphic Computation-in-Memory System (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Tetsuo Narita, Daigo Kikuta, Kenji Ito, Tomoyuki Shoji, Tomohiko Mori, Satoshi Yamaguchi, Yasuji Kimoto, Kazuyoshi Tomita, Masakazu Kanechika, Takeshi Kondo, Tsutomu Uesugi, Jun Kojima, Jun Suda, Yoshitaka Nagasato, Satoshi Ikeda, Hiroki Watanabe, Masayoshi Kosaki, Tohru Oka Reliability issues of gate oxides and $p-n$ junctions for vertical GaN metal-oxide-semiconductor field-effect transistors (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Javier Diaz-Fortuny, Dishant Sangani, Pablo Saraza-Canflanca, Erik Bury, Robin Degraeve, Ben Kaczer Improving the Tamper-Aware Odometer Concept by Enhancing Dynamic Stress Operation. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Jeevesh Kumar, Hemanjaneyulu Kuruva, Harsha B. Variar, Utpreksh Patbhaje, Mayank Shrivastava Atomic-level Insight and Quantum Chemistry of Ambient Reliability Issues of the TMDs Devices. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Ri-an Zhao, Matthew Koskinen, Yang Liu, Xinggong Wan Voltage Ramp Stress Test Optimization for Wafer Level Hot Carrier Monitoring in FinFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1J. H. Lee, B. W. Woo, Y. M. Lee, N. H. Lee, S. H. Lee, Y. S. Lee, H. S. Kim, S. Pae Reliability Improvement with Optimized BEOL Process in Advanced DRAM. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Awang Ma, Bin Gao 0006, Xing Mou, Peng Yao, Yiwei Du, Jianshi Tang, He Qian, Huaqiang Wu Thermal Induced Retention Degradation of RRAM-based Neuromorphic Computing Chips. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Dora A. Chaparro-Ortiz, Alan Y. Otero-Carrascal, Edmundo A. Gutiérrez-D., Reydezel Torres-Torres, Oscar Huerta-Guevara, P. Srinivasan 0002, Fernando Guarin Impact of Non-Conducting HCI Degradation on Small-Signal Parameters in RF SOI MOSFET. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1D. Wieland, S. Ofner, M. Stabentheiner, B. Butej, Christian Koller, J. Sun, Andrea Minetto, K. Reiser, Oliver Häberlen, Michael Nelhiebel, Michael Glavanovics, Dionyz Pogany, Clemens Ostermaier A common hard-failure mechanism in GaN HEMTs in accelerated switching and single-pulse short-circuit tests. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Shotaro Sugitani, Ryuichi Nakajima, Keita Yoshida, Jun Furuta, Kazutoshi Kobayashi Radiation Hardened Flip-Flops with low Area, Delay and Power Overheads in a 65 nm bulk process. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kin P. Cheung V-Ramp test and gate oxide screening under the "lucky" defect model. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Martin M. Frank, Ning Li, Malte J. Rasch, Shubham Jain, Ching-Tzu Chen, Ramachandran Muralidhar, Jin-Ping Han, Vijay Narayanan, Timothy Philip, Kevin Brew, Andrew Simon, Iqbal Saraf, Nicole Saulnier, Irem Boybat, Stanislaw Wozniak, Abu Sebastian, Pritish Narayanan, Charles Mackin, An Chen, Hsinyu Tsai, Geoffrey W. Burr Impact of Phase-Change Memory Drift on Energy Efficiency and Accuracy of Analog Compute-in-Memory Deep Learning Inference (Invited). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Vipin Joshi, Sayak Dutta Gupta, Rajarshi Roy Chaudhuri, Mayank Shrivastava Unique Dependence of the Breakdown Behavior of Normally-OFF Cascode AlGaN/GaN HEMTs on Carrier Transport Through the Carbon-Doped GaN Buffer. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Hideo Sato, H. M. Shin, H. Jung, S. W. Lee, H. Bae, H. Kwon, K. H. Ryu, W. C. Lim, Y. S. Han, J. H. Jeong, J. M. Lee, D. S. Kim, K. Lee, J. H. Lee, J. H. Park, Y. J. Song, Y. Ji, B. I. Seo, J. W. Kim, H. H. Kim Comprehensive study on prediction of endurance properties from breakdown voltage in high-reliable STT-MRAM. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Manuel Fregolent, Alberto Marcuzzi, Carlo De Santi, Eldad Bahat-Treidel, Gaudenzio Meneghesso, Enrico Zanoni, Matteo Meneghini Trapping in $\text{Al}_{2}\mathrm{O}_{3}/\text{GaN}$ MOScaps investigated by fast capacitive techniques. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Kazusa Takami, Yuibi Gomi, Shin-ichiro Abe, Wang Liao, Seiya Manabe, Tetsuro Matsumoto, Masanori Hashimoto Characterizing SEU Cross Sections of 12- and 28-nm SRAMs for 6.0, 8.0, and 14.8 MeV Neutrons. Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
1Subrat Mishra, Sankatali Venkateswarlu, Bjorn Vermeersch, Moritz Brunion, Melina Lofrano, Dawit Burusie Abdi, Herman Oprins, Dwaipayan Biswas, Odysseas Zografos, Gaspard Hiblot, Geert Van der Plas, Pieter Weckx, Geert Hellings, James Myers, Francky Catthoor, Julien Ryckaert Towards Chip-Package-System Co-optimization of Thermally-limited System-On-Chips (SOCs). Search on Bibsonomy IRPS The full citation details ... 2023 DBLP  DOI  BibTeX  RDF
Displaying result #1 - #100 of 1201 (100 per page; Change: )
Pages: [1][2][3][4][5][6][7][8][9][10][>>]
Valid XHTML 1.1! Valid CSS! [Valid RSS]
Maintained by L3S.
Previously maintained by Jörg Diederich.
Based upon DBLP by Michael Ley.
open data data released under the ODC-BY 1.0 license